#emc-devel | Logs for 2006-11-06

Back
[00:00:58] <steve_stallings> OK, I dug a really deep hole this time. The new motherboard I bought has a network interface not recognized by Ubuntu. How bad is it going to be to set up later if I install without?
[00:02:16] <cradek> I doubt it'll be any trouble
[00:02:33] <cradek> don't you have any old card you can plug in?
[00:04:33] <steve_stallings> Probably at the office. But I would still need to edit something later to change back.
[00:05:06] <cradek> if you install without network, all the apt repositories are disabled by default, but that's no problem
[00:05:24] <cradek> and if you add a card I think you can just set it up in the gui network configurator
[00:06:13] <steve_stallings> I am already bug-eyed from dealing with a new Intel 965 chipset that does not have IDE, so extra chip on MB that 6.06 doesn't know, running with 6.10 and funny BIOS setup.
[00:07:20] <steve_stallings> Next comes trying to get LILO to cope with dual boot when using Windows dynamic disks. Yes I am a masochist, why do you ask?
[00:07:52] <cradek> yuck. I guess I never buy the newest hardware so this stuff is sorted out by the time I get it
[00:08:06] <cradek> ubuntu uses grub...
[00:08:59] <steve_stallings> My usual approach has been to get the latest reasonably affordable and keep it forever. My current home desktop is 8 years old.
[00:09:26] <steve_stallings> I read that GRUB doesn't like dynamic disks, but LILO might.
[00:09:39] <cradek> yikes
[00:11:46] <steve_stallings> I may give up and use VMWare hosted on Win2K with Ubuntu as guest.
[01:36:48] <steve_stallings> steve_stallings is now known as steves_logging
[04:01:35] <cradek> looks like ray is back to sending email
[04:01:57] <SWPadnos> seems that way
[04:09:06] <SWPadnos> hmmm - maybe I should dive into that mesa stuff again
[04:10:24] <cradek> sounds very useful to have the thing reconfigurable
[04:10:32] <SWPadnos> yeah
[04:10:41] <cradek> I'm not sure I follow the whole thing
[04:10:53] <cradek> people want what - to configure a certain number of quadrature inputs?
[04:11:04] <SWPadnos> I think we have the "how do we get lots of info into the kernel" problem with this
[04:11:06] <cradek> or is it more than that?
[04:11:43] <SWPadnos> well, the ideal situation is to have a parameter that tells the driver what configuration to expect, and have it reprogram the card if needed
[04:11:50] <cradek> you mean the insmod commandline problems that keep popping up?
[04:12:14] <SWPadnos> I don't think we can do anything with "num_encoders=6 num_stepgens=4" or that level of reconfigurability
[04:12:17] <SWPadnos> yep
[04:12:22] <SWPadnos> the data transfer problem
[04:12:25] <cradek> I guess I'm asking what the capabilities of the card are that you want to specify
[04:12:38] <SWPadnos> I think "use this configuration" is plenty
[04:12:52] <SWPadnos> most people won't have the tools or the ability to create a custom config
[04:13:03] <cradek> I see
[04:13:04] <SWPadnos> I have some background, and I still don't know enough to do it
[04:13:25] <cradek> is the required software free?
[04:13:43] <SWPadnos> I think I have peter's 8-axis config, but haven't checked it in because I don't know how to be sure I compiled it correctly for the FPGA
[04:14:01] <SWPadnos> yes - you can download the ISE tools from Xilinx, and they have a Linux version
[04:14:56] <cradek> hmm look at all that stuff in the m5i20 directory
[04:15:03] <SWPadnos> yeah
[04:15:29] <SWPadnos> I don't know enough VHDL to be able to inspect the code competently
[04:15:57] <SWPadnos> I have done a very little bit of experimentation with using Altium to let me create custom configs, but haven't generated anything with it yet
[04:16:27] <SWPadnos> (there's a feature to turn a VHDL file into a schematic symbol, which you can then wire up on an FPGA "schematic")
[04:16:49] <cradek> what all does the card do? quadrature decode, what else?
[04:17:28] <SWPadnos> PWM output, quadrature, it has a timing source for interrupts if you want it, 48 additional I/O (some of which are extra PWM outputs or encoder inputs) ...
[04:18:13] <cradek> no step generation like ppmc?
[04:18:37] <SWPadnos> no - that's what the snippet of email Ray was responding to mentioned
[04:18:50] <cradek> pwm out and quadrature in sounds like a great basis for a setup like my lathe
[04:18:57] <SWPadnos> I have the VHDL source for the 8-axis config as well
[04:19:01] <SWPadnos> yep
[04:19:28] <SWPadnos> and making equivalents to all the stepgen functions should be weasy, once I get enough base understanding to generate something in his framework
[04:19:36] <SWPadnos> easy, not weasy
[04:19:58] <SWPadnos> so the opposing H-bridge stuff, PWM, PDM, etc could all be available
[04:20:05] <cradek> wow
[04:20:20] <SWPadnos> I think the current config uses ~1/3 - 1/2 of the FPGA
[04:21:20] <cradek> sounds like a great card
[04:21:30] <SWPadnos> it is, with a couple of caveats
[04:21:47] <SWPadnos> the main one being that it has no isolation, and very little other protection
[04:22:14] <cradek> isolation doesn't come cheap does it
[04:22:27] <SWPadnos> nope
[04:22:48] <SWPadnos> they have isolated I/O cards for $69 I think, maybe for 16 or 24 I/Os
[04:22:54] <SWPadnos> which is a great price, actually
[04:23:04] <cradek> yeah sounds good
[04:23:23] <cradek> pci I hope?
[04:23:29] <SWPadnos> it's amazingly inexpensive, actually - $199 in singles, and $149 in qty 5 (I think - maybe $159)
[04:23:31] <SWPadnos> yes
[04:23:55] <SWPadnos> I bought it because it's amazingly cheap for FPGA development work
[04:24:13] <SWPadnos> it's 1/4 the cost of most PCI FPGA cards
[04:24:24] <cradek> heh nice
[04:25:09] <cradek> so this 8 axis config means 8 pwm generators, 8 quadrature decodes, and the rest generic IO?
[04:25:13] <SWPadnos> yep
[04:25:23] <SWPadnos> I think it/s PWM+dir
[04:25:26] <cradek> what do we have now?
[04:25:41] <SWPadnos> so 32 I/Os total for the 8 axes, leaving 40 for general use
[04:26:06] <SWPadnos> 4 axes with PWM+DIR, 4 encoders, 4 "secondary encoders", and 48 I/O, I think
[04:26:16] <SWPadnos> 32 inputs, 16 outputs I believe
[04:26:45] <cradek> sure would be nice to be able to pick those numbers
[04:27:00] <SWPadnos> yeah, but the user would need the Xilinx tools
[04:27:16] <SWPadnos> place + route is almost never done by a thiurd party - they use the vendor tools
[04:27:39] <SWPadnos> oh - I forgot the 8 LEDs on the board :)
[04:28:54] <SWPadnos> ah - the encoder interface has index inputs, and the PWM outputs have enable as well, so you only get 48 I/O if you don't use the secondary encoder inputs
[04:29:31] <cradek> but that's plenty for an entire mill and then some
[04:29:35] <SWPadnos> also, it looks like there's some onboard functionality there for detecting index only while another input is activated, such as a home-with-index setup
[04:29:40] <SWPadnos> for most, yep
[04:29:48] <SWPadnos> Mr. Mazak being a possible exception :)
[04:30:01] <cradek> yeah then you need a few of them
[04:30:11] <SWPadnos> I'd love to put lots of ladder on the card as well - that would be very cool
[16:20:21] <alex_joni> hi all
[16:34:56] <alex_joni> what's the make command to build more than one file in parallel?
[16:35:49] <jepler> make -j
[16:35:53] <alex_joni> ty
[16:35:58] <jepler> or -j<NUMBER>
[16:36:17] <alex_joni> does "time make -j" make sense?
[16:36:56] <jepler> sure, "make" won't exit until all the jobs it started have exited
[16:37:17] <cradek> you probably don't want -j, you want -jN where N is some number
[16:37:53] <jepler> the "point of diminishing returns" for -j is generally no more than 2 * number of cores
[16:37:59] <alex_joni> gettin gsluggish her e:)
[16:43:29] <alex_joni> real 3m37.309s
[16:43:29] <alex_joni> user 2m54.673s
[16:43:29] <alex_joni> sys 0m22.595s
[16:43:41] <alex_joni> a bit much :/
[16:57:40] <alex_joni> hmmm -j3 is a bit slower than without on my system
[17:24:15] <SWPadnos> more task swapping = less compilation speed
[17:25:18] <alex_joni> right.. guess a SMP would help
[17:25:23] <SWPadnos> it does ;)
[17:25:29] <alex_joni> * alex_joni learned today that HT sucks :)
[17:25:34] <SWPadnos> yep
[17:25:46] <alex_joni> not on this PC.. this is amd
[17:26:02] <SWPadnos> my dual dual-core machine does a full make in ~20 seconds using -j (or -j 8)
[17:26:22] <alex_joni> you're stuttering
[17:26:31] <alex_joni> :-P
[17:26:33] <SWPadnos> (-j 8 ) ;)
[17:26:43] <alex_joni> dual dual-core
[17:26:47] <SWPadnos> yep yep
[17:26:51] <alex_joni> ;)
[17:26:59] <SWPadnos> should I say 2x dual core?
[17:27:15] <SWPadnos> four cores and two sockets ago
[17:27:19] <alex_joni> I heard they're planing on quad and 8x cores
[17:27:24] <SWPadnos> yes indeed
[17:27:32] <SWPadnos> probably not for socket 940 though :(
[17:27:41] <alex_joni> stack 'em
[17:27:51] <SWPadnos> Intel has already demonstrated (and possibly released) their first quad-core chip
[17:28:03] <alex_joni> that would be a nice design.. (if heat wasn't an issue)
[17:28:27] <SWPadnos> that's teh nice thing about the Opterons - the package dissipation is the same, regardless of the number of cores they put on it
[17:28:37] <jepler> sounds like magic to me
[17:28:50] <alex_joni> jepler: the core is not the one wasting heat
[17:28:58] <alex_joni> it's all the rest around it :D
[17:29:01] <SWPadnos> the dual 275 (dual cores @ 2.2 GHz) takes only ~6 W more than mu dual 244 setup did (single core, 1.8 GHz)
[17:29:09] <SWPadnos> s/mu/my/
[17:29:30] <SWPadnos> under load, it's about the same
[17:33:00] <SWPadnos> http://j-walk.com/other/wifispray/
[17:34:30] <alex_joni> SWPadnos: hilarious
[17:34:37] <SWPadnos> yep
[17:34:56] <alex_joni> I saw some wireless cable on ebay once
[17:35:16] <SWPadnos> heh
[18:56:21] <alex_joni> * alex_joni feels like http://www.rinkworks.com/stupid/cs_calls.shtml
[19:10:25] <skunkworks> I would not make it doing phone support.
[19:10:32] <SWPadnos> heh
[19:10:41] <SWPadnos> "the cup holder is broken"
[19:11:06] <SWPadnos> I once had a guy come in and say "I can't get into my computer - the C drive's all siezed up"
[19:11:14] <alex_joni> skunkworks: irc support is not easy either
[19:11:19] <cradek> I didn't understand a word of that conversation. You did better than I would have.
[19:11:25] <skunkworks> I had a neigbor fold his 5.25 disks to fit in the 3.5 slot.
[19:11:29] <SWPadnos> I thought about telling him to spray it with WD-40 to loosen it :)
[19:11:45] <alex_joni> cradek: he's clueless about folders and paths :(
[19:11:51] <alex_joni> can't really help there
[19:12:02] <skunkworks> You guys do great.:)
[19:12:41] <alex_joni> that guy talks in riddles
[19:13:11] <cradek> haha "bean time"
[19:13:40] <alex_joni> what's that supposed to be?
[19:13:48] <cradek> no idea
[19:13:54] <skunkworks> I had that last night ;)
[19:14:01] <skunkworks> that might have been tmi
[19:14:10] <alex_joni> definately tmi
[19:15:05] <SWPadnos> cvhili or coffee - I'm still trying to decide which
[19:15:08] <SWPadnos> chili
[19:30:31] <skunkworks> chili
[19:37:25] <skunkworks> mariss said 'The fastest way to stop a step motor is to rapidly decelerate it. The second fastest way is to stop the step pulses to the drive. The third and far slowest way is to disable the drive, freewheeling the motor.'
[19:37:38] <SWPadnos> yep
[19:37:59] <skunkworks> so maybe that guy was right about a fast deaccelleration would be better than stopping the stepper cold.
[19:38:05] <SWPadnos> yep
[19:38:08] <alex_joni> the fastest way is to enable a mechanical brake
[19:38:18] <alex_joni> period
[19:38:36] <SWPadnos> I suspect that decelerating would improve it even more (though at a much higher decel rate)
[19:38:44] <SWPadnos> ie, decel + brake :)
[19:38:59] <alex_joni> SWPadnos: big brake -2g
[19:39:05] <SWPadnos> heh
[19:39:19] <skunkworks> I think we should fire a block of aluminum into the pully. :)
[19:39:32] <skunkworks> just like the saftey table saws ;)
[19:39:33] <alex_joni> skunkworks: like that wood saw
[19:39:37] <alex_joni> yeah
[19:39:37] <SWPadnos> Micro-jam it, like Knight Rider used to do!
[19:41:06] <skunkworks> sweet.
[19:42:02] <skunkworks> I miss the old shows like that -- mcgyver(sp) and the like.
[19:42:13] <alex_joni> yay mcgyver
[19:42:18] <alex_joni> * alex_joni grew up with that
[19:42:25] <skunkworks> yep - me also
[19:42:38] <alex_joni> a bit of duct-tape and we can build anything :D
[19:42:56] <skunkworks> have you seen the visa commersial with mcgyver in it recently - funny
[19:42:58] <SWPadnos> gum wrappers, actually ;)
[20:05:53] <jepler> http://axis.unpy.net/01162843066
[20:05:55] <jepler> * jepler disappears
[20:07:48] <alex_joni> ha
[20:11:15] <alex_joni> jepler: extensive changes?
[20:35:30] <alex_joni> doesn't build for me :(
[20:41:29] <jepler> alex_joni: oh I'm not surprised
[20:41:34] <jepler> you fixed up those paths?
[20:41:58] <alex_joni> yes.. it complains about linux/string.h
[20:42:06] <alex_joni> might have missed a path?
[20:42:11] <jepler> dunno
[20:42:17] <jepler> want to pastebin it?
[20:42:31] <jepler> which step -- 'make' or 'make -F Makefile_hal'?
[20:42:37] <alex_joni> make
[20:42:54] <alex_joni> 2 lines .. I'll paste here
[20:42:57] <alex_joni> gcc -c arrays.c -o arrays_.o -Wall `pkg-config gtk+-2.0 --cflags` `pkg-config pango --cflags` `pkg-config gthread-2.0 --cflags` -DGTK_INTERFACE -DGTK2 -DSEQUENTIAL_SUPPORT -DDYNAMIC_PLCSIZE -DRT_SUPPORT -DHAL_SUPPORT -I/home/juve/emc2/include -g
[20:43:02] <alex_joni> arrays.c:31:26: error: linux/string.h: No such file or directory
[20:43:24] <jepler> #ifndef RT_SUPPORT
[20:43:24] <jepler> #include <signal.h>
[20:43:24] <jepler> #else
[20:43:24] <jepler> #include <linux/string.h>
[20:43:24] <jepler> #endif
[20:43:46] <jepler> wow that's bizarre
[20:44:19] <alex_joni> might be because of those pesky characters in the files?
[20:44:23] <SWPadnos> do you need a -I/your/linux/dir ?
[20:44:38] <jepler> I mean, it's bizarre to include <signal> in one case and <linux/string.h> in another
[20:44:39] <alex_joni> SWPadnos: probably
[20:44:54] <SWPadnos> heh
[20:45:02] <SWPadnos> I noticed that was a bit odd
[20:49:12] <alex_joni> adding -I/usr/src/linux-headers-2.6.15-magma/include to OWN_CFLAGS helped
[20:50:58] <jepler> I think the real slution is not to try to include <linux/string.h> except for realtime
[20:55:11] <alex_joni> anyways.. different issue now
[20:55:23] <alex_joni> the make -f Makefile_hal is not doing anything
[20:55:34] <jepler> hm, I wonder why not
[20:55:45] <jepler> try: make -f Makefile_hal modules
[20:56:40] <alex_joni> I see it tries to compile
[20:56:44] <alex_joni> but nothing comes out of it
[20:56:50] <alex_joni> or I'm completely blind
[20:57:11] <alex_joni> make -f Makefile_hal modules make -C /usr/src/linux-headers-2.6.15-magma SUBDIRS=`pwd` CC=gcc V=0 -o /Module.symvers modules
[20:57:14] <alex_joni> make[1]: Entering directory `/usr/src/linux-headers-2.6.15-magma'
[20:57:17] <alex_joni> Building modules, stage 2.
[20:57:19] <alex_joni> MODPOST
[20:57:22] <alex_joni> make[1]: Leaving directory `/usr/src/linux-headers-2.6.15-magma'
[20:57:45] <jepler> oh -- the kernel assumes the Makefile is called Makefile
[20:57:55] <jepler> you'll have to mv Makefile Makefile_userspace; mv Makefile_hal Makefile
[20:58:16] <jepler> then use 'make -F Makefile_userspace' for userspace, and 'make modules' for realtime
[20:59:34] <alex_joni> make[2]: *** No rule to make target `/home/juve/emc2/src/hal/classicladder/classicladder_rt.c', needed by `/home/juve/emc2/src/hal/classicladder/classicladder_rt.o'. Stop.
[21:00:34] <alex_joni> if I make -d I see some weird errors about includign Makefile.modinc
[21:01:41] <alex_joni> http://pastebin.ca/242001
[21:02:22] <jepler> doesn't Makefile.modinc exist?
[21:03:09] <alex_joni> it does
[21:03:27] <alex_joni> ll /home/juve/emc2/src/Makefile.modinc
[21:03:28] <alex_joni> -rw-r--r-- 1 juve juve 2086 2006-11-06 18:39 /home/juve/emc2/src/Makefile.modinc
[21:15:48] <alex_joni> jepler: any idea what to check?
[21:18:11] <alex_joni> I see it gets included
[21:18:21] <alex_joni> else the modules rule wouldn't get found
[21:22:36] <jepler> alex_joni: no, I don't know
[21:22:44] <jepler> later I'll try on an rt machine myself
[21:22:44] <alex_joni> ok, never mind :/
[21:27:56] <alex_joni> jepler: I know.. there's no classicladder_rt.c
[21:28:46] <jepler> hum, does there have to be?
[21:29:25] <jepler> oh I see
[21:29:28] <alex_joni> the .o tries to expand to one
[21:29:30] <jepler> objs-classicladder_rt := module_hal.o classicladder.o calc.o vars_access.o arithm_eval.o hardware.o manager.o arrays.o symbols.o calc_sequential.o
[21:29:38] <jepler> this should be classicladder_rt-objs
[21:29:41] <jepler> is that the problem?
[21:29:58] <alex_joni> * alex_joni tries
[21:30:16] <alex_joni> yeah
[21:30:21] <alex_joni> it's further now
[21:30:46] <alex_joni> /home/juve/emc2/src/hal/classicladder/manager.c:23:19: error: stdio.h: No such file or directory
[21:30:49] <alex_joni> /home/juve/emc2/src/hal/classicladder/manager.c:24:20: error: string.h: No such file or directory
[21:31:24] <jepler> probably need to protect those includes with #if
[21:31:32] <alex_joni> will do
[21:32:17] <cradek> why not just check this in on a branch?
[21:33:31] <jepler> irrational fear of branches?
[21:33:33] <alex_joni> hmm.. need to look up the branching foo
[21:33:44] <jepler> cradek: after you nag me to a few more times, I probably will
[21:33:56] <alex_joni> * alex_joni can probably add it too
[21:34:00] <alex_joni> jepler: would that be fine?
[21:34:05] <jepler> alex_joni: please dont, I've made changes in my copy here
[21:34:23] <jepler> now it's nearly compatible with the old one, seems to load the same .clp files
[21:34:24] <alex_joni> oh.. ok
[21:46:53] <alex_joni> lol.. quote from cl/TODO.txt " (absolutely no idea for now, how to do all that with the current architecture)"
[21:58:57] <alex_joni> SWPadnos: slow reboot
[21:59:26] <SWPadnos> err - I meabt that here :)
[21:59:30] <SWPadnos> meant
[22:02:42] <alex_joni> jepler: got it to compile cleanly
[22:02:50] <alex_joni> well.. with lots of warnings :D
[22:03:19] <alex_joni> but it generates some errors when I run it
[22:04:14] <alex_joni> I had to replace a lot of printf's with rtapi_print in arrays.c
[22:05:35] <jepler> on this end, I have it running demo_step_cl with the parport stuff removed
[22:06:23] <alex_joni> coo
[22:06:33] <alex_joni> plan to commit it?
[22:07:41] <alex_joni> guess I'll see that tomorrow.. sleepy now :/
[22:09:12] <jepler> yeah I think I'll do the branch thing
[22:09:37] <alex_joni> if you plan that now, I can stay for a while and play with it on RT
[22:10:39] <jepler> can you quickly make me a diff for the things you changed?
[22:10:47] <jepler> that way I have your work as a starting point
[22:10:48] <alex_joni> yup
[22:10:51] <jepler> I'll finish it up
[22:13:17] <alex_joni> got a diff command line?
[22:13:31] <alex_joni> man is slow
[22:15:32] <jepler> diff -ruN original new
[22:15:40] <jepler> where 'original' and 'new' are directories
[22:16:19] <alex_joni> I was close..
[22:16:22] <alex_joni> had them in reverse
[22:16:40] <alex_joni> http://dsplabs.cs.utt.ro/~juve/dropbox/cl_changes.diff
[22:17:27] <jepler> but even with those changes, it doesn't yet compile on realtime?
[22:18:11] <alex_joni> it does
[22:18:14] <alex_joni> doesn't run though
[22:18:20] <jepler> oh, you get an error when you 'insmod'?
[22:18:26] <jepler> undefined symbol or something?
[22:18:41] <alex_joni> shmem problems
[22:20:22] <alex_joni> jepler: I renamed the Makefiles back to what they were initially
[22:21:26] <alex_joni> (gdb) run
[22:21:26] <alex_joni> Starting program: /home/juve/emc2/src/hal/classicladder/classicladder
[22:21:26] <alex_joni> [Thread debugging using libthread_db enabled]
[22:21:26] <alex_joni> [New Thread -1218230592 (LWP 32664)]
[22:21:26] <alex_joni> Shared memory: 434c522b 5 360
[22:21:29] <alex_joni> RTAPI: Warning: shmem already mapped
[22:21:31] <alex_joni> Failed to alloc shared memory (434c522b 5 141452) !
[22:21:34] <alex_joni> ULAPI: WARNING: module 'HAL_classicladder' failed to delete shmem 02
[22:22:28] <jepler> hm
[22:22:55] <jepler> I couldn't figure out how the original worked -- it maps with a fixed, small size (360 bytes in the example) even though it will access many more bytes (141452 in the exampe)
[22:23:32] <jepler> so in my version I mapped the beginning, find the correct size, and map again using that size
[22:23:35] <jepler> apparently it doesn't work on rtai
[22:24:04] <alex_joni> that's about all I have
[22:24:20] <alex_joni> jepler: is the code really terrible?
[22:31:18] <jepler> alex_joni: looks like the old "map once" approach works, though I don't understand why. I guess maybe the shared memory segment size is set at the first shmem_new() and it's ignored on subsequent ones...
[22:31:52] <alex_joni> I think I remember RTAPI doing that
[22:32:19] <alex_joni> basicly if I remember it right the same alloc gets called a few times, with a magic string
[22:32:36] <alex_joni> if there's no alloced mem, it gets alloced, if the string matches all is ok
[22:34:00] <jepler> // Attach SHMEM with proper size.
[22:34:16] <jepler> so try getting rid of the second group of lines with this comment at the top ^^^
[22:34:35] <jepler> down to InfosGene = (StrInfosGene*)(shmBase+2);
[22:34:36] <alex_joni> what file?
[22:35:13] <alex_joni> array.c
[22:35:56] <jepler> yes
[22:36:33] <alex_joni> do I need to recompil ulapi?
[22:36:39] <alex_joni> or only the RT part?
[22:36:52] <jepler> the userspace part should be it
[22:36:58] <alex_joni> oh.. it runs
[22:37:06] <alex_joni> ;-)
[22:37:37] <jepler> you should be able to load a .clp but the pin names match traditional emc
[22:37:45] <jepler> in the version you have
[22:38:02] <alex_joni> I ran the test
[22:38:13] <alex_joni> and it's updating and all
[22:38:16] <jepler> good!
[22:38:25] <jepler> the 'test.hal'?
[22:38:34] <alex_joni> stopped it now, and editing is really a lot better than what we have in emc2/cl now
[22:38:39] <alex_joni> yeah, the test.hal
[22:38:53] <jepler> what are the other new features supposed to be?
[22:39:16] <alex_joni> some ladder parts
[22:40:27] <alex_joni> it still is buggy as hell :(
[22:40:33] <alex_joni> e.g. I tried entering edit
[22:40:42] <alex_joni> then loading a file without leaving edit
[22:40:45] <alex_joni> and it crashed on me
[22:40:49] <jepler> too bad
[22:41:31] <alex_joni> hmm.. no I think the load part is foobared
[22:41:37] <alex_joni> at least in the version I have
[22:41:41] <jepler> that's too bad
[22:41:46] <jepler> I thought I'd used it
[22:42:31] <alex_joni> Init tmp dir=/tmp/classicladder_tmp_fafosV
[22:42:32] <alex_joni> Load project 'projects_examples/example.clp' in tmp dir=/tmp/classicladder_tmp_fafosV
[22:42:34] <alex_joni> Loading datas from /tmp/classicladder_tmp_fafosV...
[22:42:37] <alex_joni> [2]+ Stopped /home/juve/emc2/scripts/halrun test.hal
[22:42:40] <alex_joni> juve@ubuntu:~/emc2/src/hal/classicladder$
[22:42:40] <alex_joni> * alex_joni wonders why halrun returned
[22:43:02] <alex_joni> that happened when I hit the load button
[22:51:28] <SWPadnos_> SWPadnos_ is now known as SWPadnos
[22:58:04] <alex_joni> jepler: thanks for working on this..
[22:58:44] <jepler> I hope I can bang it all into shape
[22:59:05] <jepler> I worry that I'll modify it too much to make integrating future upstream changes too hard
[22:59:13] <jepler> because frankly the organization of classicladder is bad
[22:59:26] <jepler> it's not designed to be very modular