#emc-devel | Logs for 2010-05-09

Back
[12:12:11] <ries_> ries_ is now known as ries
[16:22:49] <skunkworks> mozmck: package recieved! Thanks a bunch. Cannot wait to get it moving the machine.
[16:44:18] <jepler> * jepler is starting to package up 2.4.0.
[16:52:54] <cradek> yay
[16:59:59] <jepler> oops
[17:00:08] <jepler> * jepler realizes he was updating the changelog based on changes only in master
[17:00:31] <jepler> I kept going "huh, I said that was OK for 2.4?"
[19:02:31] <mozmck> skunkworks: great! I just sent the mpg because you said you weren't too interested in the rest of the panel, but I am somewhat.
[19:07:28] <CIA-2> EMC: 03jepler 07v2.4_branch * r10815acca9ce 10/ (VERSION debian/changelog): bump version number for release
[19:07:37] <CIA-2> EMC: 03jepler 07v2.4_branch * r768f4f801bbf 10/debian/changelog: note new changes
[19:08:08] <jepler> jepler has changed the topic to: EMC2 development -- http://linuxcnc.org/ | Latest release: EMC 2.4.0 | channel logged by logger_dev
[19:16:58] <ries> jepler: thanks for 2.4.0!
[19:29:10] <JT-Dev> yea, 2.4
[19:45:55] <seb_> yay 2.4.0!
[19:46:35] <seb_> jepler, the buildbot is currently building a package it's calling "1:2.4.0", which i think will be easy to confuse with the official release packages
[19:47:23] <SWPadnos> bad buildbot!
[19:47:54] <SWPadnos> thanks, jepler. sorry I've been useless as a release manager so far
[20:18:40] <alex_joni> yay 2.4.0
[20:30:16] <seb_> i moved all the buildbot 2.4.0 packages & tarballs out of the way, so they're not web accessible
[20:30:34] <jepler> seb_: hm, interesting
[20:31:18] <jepler> seb_: I'll think about what that means later .. it should be "the same package", though I suppose its debian changelog is different..
[20:32:35] <seb_> http://emc2-buildbot.colorado.edu/~seb/2.4-from-buildbot/
[20:34:02] <seb_> the changelog has an empty part at the top
[20:34:31] <seb_> http://emc2-buildbot.colorado.edu/~seb/2.4-from-buildbot/changelog
[20:35:11] <seb_> it'd be nice if the changelog-making script didnt insert that bogosity, and you could release by just committing and letting the packages auto-build
[20:35:50] <seb_> anyway, for now the buildbot is not exposing anything called 2.4.0
[20:35:55] <seb_> thanks for the release jeff!
[20:36:00] <CIA-2> EMC: 03cmorley 07master * re7fc4379a894 10/src/emc/usr_intf/pncconf/ (pncconf.glade pncconf.py): Fix mesa page errors when using nonstandard firmware
[20:36:01] <CIA-2> EMC: 03cmorley 07master * r2c9a64b8557e 10/src/emc/usr_intf/pncconf/pncconf.py: Fix custom hal/combobox signal name inconsistency
[20:36:02] <CIA-2> EMC: 03cmorley 07master * r1bc3cb60495f 10/src/emc/usr_intf/pncconf/pncconf.glade: Fix default steps per rev of motor to 200 in glade file
[20:36:40] <seb_> bbl
[20:37:01] <CIA-2> EMC: 03cmorley 07master * re2e464d8e117 10/src/emc/usr_intf/pncconf/pncconf.py: Fix: replace spaces with underscore in custom signalnames
[20:37:05] <CIA-2> EMC: 03cmorley 07master * r8699d0b7bd29 10/src/emc/usr_intf/pncconf/pncconf.py: fix some white space errors - no functional changes
[20:37:06] <CIA-2> EMC: 03cmorley 07master * r16034470e9b3 10/docs/src/gcode/images/g76-threads.dxf: update image to clear up z word
[20:37:07] <CIA-2> EMC: 03cmorley 07master * r893fc9073db5 10/docs/man/man1/halui.1: Update man page to include new pins
[20:37:09] <CIA-2> EMC: 03cmorley 07master * r90be807d5418 10/docs/src/ladder/classic_ladder.lyx: markup fix
[20:37:11] <CIA-2> EMC: 03cmorley 07master * r4774e5b1e9db 10/docs/src/ (Master_User.lyx common/userforeword.lyx): fix index for forword
[20:37:12] <CIA-2> EMC: 03cmorley 07master * r1b8758034a91 10/src/emc/rs274ngc/interp_check.cc: Fix small typo
[20:37:13] <CIA-2> EMC: 03cmorley 07master * r91e4cd96fa23 10/src/emc/rs274ngc/ (interp_check.cc interp_convert.cc): Remove unneeded checkings in G43.1 gcode
[20:37:14] <CIA-2> EMC: 03cmorley 07master * r73a1bfc49ae7 10/src/po/pl.po: Another part of Polish translations
[20:37:17] <CIA-2> EMC: 03cmorley 07master * rceb79bc75d37 10/ (2 files in 2 dirs): Merge branch 'i2g-numpy' into v2.4_branch
[20:37:18] <CIA-2> EMC: 03cmorley 07master * r9a7e5837502b 10/docs/src/gcode/images/g76-threads.png: duh, update the image
[20:37:19] <CIA-2> EMC: 03cmorley 07master * r0177fbdfc6e9 10/src/emc/usr_intf/touchy/touchy.py: Somehow I hear this makes touchy work better without a window manager.
[20:37:20] <CIA-2> EMC: 03cmorley 07master * r9c59cf5a5bfe 10/src/emc/task/emctaskmain.cc: make "step from start" run+pause, not run+step+pause
[20:37:25] <CIA-2> EMC: 03cmorley 07master * r7469f19c76a3 10/ (16 files in 13 dirs): Merge commit 'origin/v2.4_branch'
[20:37:29] <CIA-2> EMC: 03cmorley 07master * r9f5cc406a812 10/docs/src/gui/halui.lyx: add missing pin
[20:37:31] <CIA-2> EMC: 03cmorley 07master * r4b410959a4df 10/src/emc/iotask/ioControl.cc: Fix T1M6 / T0M6 / T1M6 not doing the last one, on nonrandom
[20:37:38] <CIA-2> EMC: 03cmorley 07master * rb4fe613f0567 10/src/hal/drivers/serport.comp: Update description how to disable serial port linux driver
[20:56:30] <skunkworks> mozmck: yep - that is great. (I just wanted the mpg)
[20:58:53] <skunkworks> my wife was playing with it and said 'this makes me want to go fishing' ;)
[21:02:06] <cradek> yayy!!
[21:06:13] <cradek> jepler: thanks! this is great. I'm glad it's out.
[21:09:02] <cradek> wow that's a lot of new stuff in 2.4. I forgot, since I've been using it so long.
[22:57:28] <ries_> ries_ is now known as ries