#emc-devel | Logs for 2010-02-24

Back
[01:08:51] <mshaver> How can I find the revision string for a commit I made? I want to cherry-pick it, but I don't know where to find these identifiers.
[01:21:05] <mshaver> Does anyone know what this git error message means?
[01:21:11] <mshaver> ! [rejected] v2_3_branch -> v2_3_branch (non-fast forward)
[01:21:11] <mshaver> error: failed to push some refs to 'ssh://mshaver@git.linuxcnc.org/git/emc2.git'
[01:21:51] <mshaver> I've pulled, cherry-picked, pushed, committed, rebased, every command I can think of and it's still not happy...
[01:23:17] <CIA-2> EMC: 03mshaver 07v2.4_branch * r44d5ac25ce72 10/configs/smithy/ (15 files): Add files to support new 622 versions, change 1240 machine velocities, and lower spindle speed PWM output to 100Hz
[01:23:43] <mshaver> OK, that worked, but how do I fast-forward the v2_3_branch?
[02:20:34] <cradek> mshaver: git checkout v2_3_branch; git pull
[02:20:44] <cradek> this will give you a merge commit which you can then push
[04:03:33] <CIA-2> EMC: 03mshaver 07v2_3_branch * r3da9816bac7b 10/configs/smithy/ (15 files): Add files to support new 622 versions, change 1240 machine velocities, and lower spindle speed PWM output to 100Hz
[04:03:53] <mshaver> cradek: Yes! It worked! THANKS!
[12:58:24] <CIA-2> EMC: 03jepler 07master * r80cc6b701b80 10/ (56 files in 7 dirs): remove deprecated hal_m5i20 driver
[12:58:25] <CIA-2> EMC: 03jepler 07master * rf246b49e2a6f 10/src/emc/usr_intf/stepconf/stepconf.py: stepconf: all home switches and limit switches on one input
[12:58:26] <CIA-2> EMC: 03jepler 07master * r128efefbe379 10/src/emc/usr_intf/stepconf/stepconf.py: Merge branch 'limits+homes'
[13:07:39] <CIA-2> EMC: 03jepler 07master * re651b01b6a23 10/src/Makefile: Fix 'make setuid' error introduced in 80cc6b
[13:13:07] <micges_work> jepler: http://www.pastebin.ca/1808080
[13:13:24] <micges_work> I didn't know if execution order is important
[13:13:48] <micges_work> jepler: (defer-format branch)
[13:15:44] <alex_joni> micges_work: some C compiler required parameter definitions to be at the beginning of a function
[13:15:49] <alex_joni> I'm not sure if not C99
[13:16:54] <micges_work> alex_joni: begin of section can be too
[13:17:31] <micges_work> I've just tested
[13:18:09] <alex_joni> right.. I'm remembering C90
[13:18:19] <alex_joni> but even in C90 it's allowed at the beginning of a section
[13:19:28] <jepler> micges_work: thank you
[13:22:10] <jepler> hm, testing it here, something's wrong...
[13:22:11] <jepler> [249066.131393] RTAPI: module '\ ´ø' loaded, ID: 1346458706
[13:22:48] <jepler> it should look more like:
[13:22:49] <jepler> [ 4161.221816] RTAPI: module 'HAL_LIB' loaded, ID: 1
[13:22:55] <jepler> so something's clearly wrong in my own tree
[13:42:28] <jepler> oh, it looks like a "duh" error
[13:49:20] <alex_joni> "duh" errors are the nicest
[13:52:22] <jthornton> so the chapter on the m5i20 driver can be removed from master?
[13:52:38] <jepler> jthornton: yes
[14:10:55] <cradek> does anyone know how to find the actual output of the build on buildbot?
[14:11:16] <cradek> I follow the link in the email but I can't find the output
[14:11:41] <cradek> the link it gives is http://emc2-buildbot.colorado.edu/buildbot/builders/master-checkin/builds/104
[14:12:23] <cradek> one of the links gives an error - maybe that's the one
[14:13:30] <jepler> I look at http://emc2-buildbot.colorado.edu/buildbot/one_box_per_builder to find the output of each latest build
[14:14:17] <jepler> sigh, do I test nothing?
[14:23:51] <CIA-2> EMC: 03jepler 07master * r3c2a3cadca40 10/ (6 files in 3 dirs): fix 'make install' and deb-building error introduced in 80cc6b
[14:24:45] <CIA-2> EMC: 03jepler 07master * r4bc762a2df3c 10/src/ (5 files in 2 dirs): mark most strings in the motion controller
[14:24:46] <CIA-2> EMC: 03jepler 07master * r01aa20061349 10/src/hal/drivers/mesa-hostmot2/ (hm2_7i43.c hm2_pci.c hm2_test.c): prefer rtapi_snprintf to snprintf
[14:24:47] <CIA-2> EMC: 03jepler 07master * r8868436313c3 10/src/ (18 files in 6 dirs): defer formatting of realtime motion messages to userspace
[14:24:50] <CIA-2> EMC: 03jepler 07master * rc99c6e9181ef 10/src/emc/motion/motion.c: this declaration was unneded
[14:24:51] <CIA-2> EMC: 03jepler 07master * r3854dcaddafa 10/src/rtapi/vsnprintf.h: a convenience function for adding a single character
[14:24:53] <CIA-2> EMC: 03jepler 07master * rde31f9742385 10/src/rtapi/ (rtai_rtapi.c rtapi.h vsnprintf.h): use our vsnprintf for %f support
[14:24:59] <CIA-2> EMC: 03jepler 07master * rb5369ab62196 10/src/rtapi/vsnprintf.h: "formatting" a double as hex is better than nothing
[14:25:01] <CIA-2> EMC: 03jepler 07master * r60d9ae7d7f0c 10/src/emc/motion/stashf.c: in realtime use our snprintf for %f support
[14:25:04] <CIA-2> EMC: 03jepler 07master * r6986b2b4e97d 10/src/rtapi/rtai_rtapi.c: remove unused variables
[15:18:43] <CIA-2> EMC: 03mshaver 07v2_3_branch * r9a6448c6c72f 10/configs/smithy/ (11 files): Changed eztrol plugin library version numbers in anticipation of the 2.3.5 release.
[15:32:04] <clytle374> Any idea when the patch for the Mesa 7i48 will be part of git?
[15:33:20] <cradek> have you tried it and found that it works?
[15:34:18] <clytle374> I hear it was tested. If I could find it, I would test it.
[15:34:48] <cradek> ah, so you don't even have it
[15:35:44] <clytle374> No, I'm getting the card today. Bunch of wiring before I can test it.
[15:36:00] <clytle374> If I'm worthy of having it?
[15:37:12] <cradek> I figured it was posted to the mailing list but I'm not seeing it
[15:37:49] <jepler> 11:07 <jepler> anyhow, I went ahead and built that firmware: http://emergent.unpy.net/files/sandbox/sv12im_2x7i48_72.bit http://emergent.unpy.net/files/sandbox/sv12im_2x7i48_72.pin
[15:37:53] <jepler> 13:33 <jepler> based on pcw saying that the registers are compatible between regular and muxed encoder, I've whipped this together: http://emergent.unpy.net/files/sandbox/0001-untested-support-for-muxed-encoders.patch
[15:37:57] <jepler> 13:33 <jepler> it'll load that sv12im_2x7148_72 bitfile and shows plausible things in dmesg and halcmd, but I can't test beyond that
[15:38:05] <cradek> aha
[15:38:20] <jepler> (from my own logs of this channel on feb 11)
[15:38:55] <jepler> ah, here's the link to the public logs: http://www.linuxcnc.org/irc/irc.freenode.net:6667/emcdevel/2010-02-11.txt
[15:39:15] <clytle374> Thanks
[15:39:38] <jepler> timestamp is 17:07 and 19:33 there
[15:41:15] <jepler> (that bitfile is for 5i20 + 7i48)
[15:42:03] <clytle374> I'm getting the 5i20
[15:43:23] <cradek> 7i48 would be super cool for a dual feedback 3 axis mill
[15:44:35] <cradek> well I guess you'd really want 8 encoders, counting the spindle and jogwheel
[15:45:59] <clytle374> Mine is going to be 4 axis + spindle
[15:48:55] <clytle374> Thanks for the help. I go back to the (l)users forum until I get something of value;)