#emc-devel | Logs for 2010-02-15

Back
[00:01:26] <ries> jepler: will mail, I don't see memory released in top
[00:05:23] <jt-plasma> what is the difference between deb and deb-src for 2.4?
[02:07:36] <jepler> ries: the test for the bug this fixes is to load a big file, then load it again. if the memory usage stays about the same as it was after the first load, then the bug is fixed. If it grows each time, the bug is still present.
[02:08:33] <jepler> I'm sorry I wasn't clearer about what exactly should be tested
[02:08:46] <jepler> .. on the other hand, if you used emc a bit with this change and saw no problems that is still good to know
[02:15:42] <ries> jepler: let me try that to be sure...
[02:17:29] <ries> jepler: I see what you are saying. patch works in that case
[02:18:21] <jepler> ries: ok, thanks again for testing
[02:18:27] <jepler> did you test master only, or did you test 2.3 as well?
[02:18:44] <ries> I can try master aswell.. this test was with 2.3.4
[02:18:52] <ries> give me a minute and I will try that
[02:19:10] <jepler> 2.3 is what I care most about, since the next release will probably be the last one..
[02:22:47] <ries> With 2.3.4 it worked for sure..
[02:23:57] <ries> With master it works also, just the lines in axis.py needs to get changed as you suggested
[02:23:59] <ries> if o.canon is not None:
[02:24:12] <ries> o.canon.clear()
[02:29:36] <jepler> ok thanks again
[02:30:32] <ries> np
[04:04:03] <cradek> with the new tool table format, I can't seem to edit and then reload the tool table. when I later touch off a tool, my edits are gone.
[04:04:59] <cradek> well, maybe reload tool table doesn't work at all
[04:06:33] <cradek> running sim/random_tc. f1 f2 f5 t1m6 alt-f t, change diameter of T1/P0, save file, back in emc, alt-f b. notice status line does not update.
[04:07:10] <cradek> f3, z, end, alt-t, enter; notice the change made in simpockets.tbl is now gone
[04:11:37] <cradek> I'm at 1acdfc (the current merge-base)
[04:12:20] <jepler> I'll look at it tomorrow if micges hasn't had a chance yet
[04:13:01] <cradek> ok, maybe I'll know more by then too
[04:14:38] <jepler> http://pastebin.ca/1796926
[04:14:48] <jepler> * jepler wonders what he did wrong -- random_tc won't even start
[04:14:50] <cradek> 81d7f2a is good
[04:15:08] <cradek> jepler: change P99999 to P9
[04:15:23] <cradek> I started this ordeal by wanting to just fix that...
[04:16:35] <jepler> hah
[04:17:11] <cradek> I wish I could tell git bisect that the bug is probably related to a certain file
[04:17:29] <jepler> you can
[04:17:35] <jepler> git bisect start [<bad> [<good>...]] [--] [<paths>...]
[04:17:43] <cradek> oh!!
[04:17:47] <jepler> git bisect start -- src/emc/iotask
[04:17:51] <jepler> or something like that
[04:17:54] <jepler> goodnight
[04:18:09] <cradek> goodnight, thanks for the clue bat
[04:22:12] <cradek> 9e03062a872aaf7f50019b8ea37a5efb6ba71408 is first bad commit: factor tool table reading out of iotask
[13:09:57] <jepler> cradek: I was afraid of that
[13:10:37] <jepler> but why?
[13:14:39] <jepler> oh, the filename is wrong at reload time
[13:26:14] <jepler> http://emergent.unpy.net/files/sandbox/0001-fix-reload-tool-table.patch
[13:29:38] <alex_joni> TOOL_TABLE_FILE is the default filename?
[13:29:42] <alex_joni> or the one from the ini?
[13:33:22] <jepler> 0001-fix-crash-loading-table-with-invalid-pocket-number.patch
[13:34:16] <jepler> int emcToolSetToolTableFile(const char *filename)
[13:34:16] <jepler> {
[13:34:16] <jepler> strcpy(TOOL_TABLE_FILE, filename);
[13:34:22] <jepler> alex_joni: it's the one from the ini
[13:34:26] <jepler> bbl
[15:06:47] <cradek> jepler: fix-reload-tool-table fixes it
[15:06:53] <cradek> 404 on fix-crash-etc
[15:09:14] <cradek> jepler: should I push it for you? I've got it all set up.
[15:12:16] <jepler> sure on the push
[15:12:38] <jepler> http://emergent.unpy.net/files/sandbox/0001-fix-crash-loading-table-with-invalid-pocket-number.patch
[15:12:53] <JT-Work> Looking at the instructions at http://emc2-buildbot.colorado.edu/~buildmaster do I create the new file emc2-builbot.list or use the emc2.list file that is there on my 2.3 machine?
[15:14:42] <jepler> apt-get will look at every file in sources.list.d.
[15:15:08] <jepler> when you ask apt-get to install or upgrade emc2, it'll chose the highest version available by default
[15:15:25] <JT-Work> Ok, thanks
[15:15:27] <jepler> so either option can work
[15:15:48] <cradek> I had heard cia is not working, but I didn't get -commit emails either
[15:15:53] <cradek> maybe that's just slow
[15:16:18] <cradek> jepler: I didn't get your second patch in.
[15:19:26] <cradek> jepler: you did not get 655325 into master
[15:20:50] <jepler> master will get that when v2.4_branch is merged into it
[15:21:57] <cradek> ok I didn't know that was the procedure. nudge me if I'm doing it wrong.
[15:22:24] <cradek> thanks for fixing reload-tool-table
[15:22:30] <jepler> sorry for breaking it..
[15:22:51] <cradek> no problem, that's why I'm testing.
[15:23:04] <cradek> I don't think I've seen anything else off
[15:23:51] <cradek> I tried fixing reload tool table by pressing the button harder. it didn't help. I guess your fix is better.
[15:31:59] <CIA-4> EMC: 03jepler 07master * rb71806a55bd4 10/src/emc/usr_intf/touchy/emc_interface.py: Merge branch 'touchy-feedhold' into v2.4_branch
[15:32:04] <CIA-4> EMC: 03jepler 07master * re4ce506ec298 10/debian/changelog: note items changed during the 2.3.x series
[15:32:04] <CIA-4> EMC: 03jepler 07master * re0faf4266880 10/src/emc/usr_intf/touchy/ (emc_interface.py touchy.glade touchy.py): Merge branch 'touchy-rpmreadout' into v2.4_branch
[15:32:05] <CIA-4> EMC: 03jepler 07master * rcfd66883d2b6 10/debian/changelog: note changes during 2.4 development
[15:32:06] <CIA-4> EMC: 03jepler 07master * r5519ebb239cc 10/src/emc/iotask/ioControl.cc: Merge branch 'toolchange-fixes' into v2.4_branch
[15:32:11] <CIA-4> EMC: 03jepler 07master * r655325379df5 10/src/emc/usr_intf/axis/scripts/image-to-gcode.py: "extend image" crash on non-square image (SF#2947390)
[15:56:38] <CIA-4> EMC: 03jepler 07master * rd83451d6d0b4 10/debian/update-dch-from-git: Merge branch 'improved-git-dch' into v2.4_branch
[15:56:40] <CIA-4> EMC: 03jepler 07master * rd3b124e5c187 10/docs/src/drivers/hostmot2.lyx: reflect hostmot2-firmware being packaged separately
[15:56:41] <CIA-4> EMC: 03jepler 07master * r5bba8eb23d84 10/ (3 files in 3 dirs): Merge remote branch 'origin/v2.4_branch'
[15:56:41] <CIA-4> EMC: 03jepler 07master * rce754df86493 10/ (configs/sim/simpockets.tbl src/emc/iotask/ioControl.cc): Merge branch 'tooltable-fixes' into v2.4_branch
[15:56:42] <CIA-4> EMC: 03cradek 07master * rb6b3f355e55e 10/src/emc/iotask/ioControl.cc: fix 'reload tool table'
[15:56:42] <CIA-4> EMC: 03jepler 07master * r8dc56c21105b 10/docs/src/ (4 files): update preamble version number
[15:56:43] <CIA-4> EMC: 03cradek 07master * r0d7f176f3595 10/configs/sim/simpockets.tbl: fix out-of-range pocket number
[15:56:44] <CIA-4> EMC: 03cradek 07master * r5808cb2e95ee 10/ (configs/sim/simpockets.tbl src/emc/iotask/ioControl.cc): Merge branch 'tooltable-fixes'
[15:56:44] <CIA-4> EMC: 03jepler 07master * re1abb1eb51d9 10/docs/src/drivers/hostmot2.lyx: a small note about 3x20
[15:56:45] <CIA-4> EMC: 03jepler 07master * r5faccbbe8e0e 10/src/emc/usr_intf/axis/scripts/image-to-gcode.py: Merge branch 'extend-image' into v2.4_branch
[15:56:54] <CIA-4> EMC: 03cradek 07v2.4_branch * rce754df86493 10/ (configs/sim/simpockets.tbl src/emc/iotask/ioControl.cc): Merge branch 'tooltable-fixes' into v2.4_branch
[17:22:28] <Roguish> jepler: thanks for the info yesterday. i think i understand better now. did the apt-get for the hostmot project files. did the symlink, all seems to point ok, i think.
[17:24:41] <Roguish> get the following error: invalid IDROM type 3, expected 2, aborting load
[17:25:40] <Roguish> am i still messed up? or could this be something in the 5i20 files??
[17:25:51] <cradek> what emc version?
[17:25:54] <jepler> what version of emc2 are you running? the new hostmot2-firmware packages are not compatible with 2.3.4 and under; they will be compatible with 2.3.5, 2.4.0, and newer.
[17:27:45] <Roguish> that's it. ok my problem.
[17:28:15] <Roguish> i'll try the proper version.
[17:28:21] <Roguish> thanks.
[17:28:56] <jepler> If you want to work with 2.3.4 and older, then use the emc2-firmware-mesa-* packages instead.
[17:40:23] <Roguish> yeah, i've been away from the project for too long. following the emails, i'll just be patient and wait for the next release, 2.3.5 I guess. thanks again.
[23:26:41] <CIA-4> EMC: 03jthornton 07v2.4_branch * r7f606f5c6164 10/docs/src/gcode/main.lyx: Add info about M2
[23:26:42] <CIA-4> EMC: 03jthornton 07master * rfe865d3d3f22 10/docs/src/gcode/main.lyx: Add info on M2