#emc-devel | Logs for 2009-05-13

Back
[10:49:44] <micges> I've just checked: I'm running emc with hal memory set to 200k from about 3 months for sure
[10:49:58] <micges> on 2d laser running 24/7
[10:50:23] <micges> I had no issues with hal
[11:09:16] <micges> on 2.2.8 and next on 2.3.0
[11:31:49] <micges> jepler: I would like to translate halscope and halmeter but there is no infrastructure to do that. setlocale/domain statements and include .h from emctaskmain.cc doesn't work
[12:06:02] <SWPadnos_> SWPadnos_ is now known as SWPadnos
[12:09:57] <SWPadnos_> SWPadnos_ is now known as SWPadnos
[13:07:32] <CIA-67> EMC: 03jepler 07TRUNK * 10emc2/src/.swish_config: exclude various binary files that have crept in
[14:09:25] <jepler> ugh. gettext, like the LC_xxx variables, uses the convention "en_US", so you get files like en_US/LC_MESSAGES/emc2.mo. but tcl uses the convention "en_us" so you get files like share/emc/tcl/en_us.msg
[14:09:48] <jepler> I don't know how to tell make 'en_us.msg is made from en_US.po' without listing each one explicitly
[14:19:00] <CIA-67> EMC: 03jepler 07TRUNK * 10emc2/docs/man/man9/encoder.9: improve discussion of estimated modes
[14:20:42] <CIA-67> EMC: 03jepler 07TRUNK * 10emc2/docs/src/hal/ (out8.comp rand.comp): update to include required license directive
[14:21:49] <SWPadnos> is that a general problem or specific to the US
[14:21:59] <cradek> I also don't see anything like upcase or tr in gmake
[14:22:36] <jepler> SWPadnos: in any setting that includes both a language code (e.g., "en" for english) and a country code (e.g., "US" for the united states), tcl lowercases the country code
[14:22:54] <SWPadnos> I guess I'm wondering how many other cases there are of that
[14:23:16] <SWPadnos> of course there are the other en_* cases
[14:23:55] <jepler> any combination of language code and country code is in principle valid
[14:24:24] <SWPadnos> sure, we could have fr_US
[14:24:46] <jepler> take gimp for example -- it has the following translations with country code specified: en_CA en_GB pt_BR zh_CN zh_TW
[14:25:24] <jepler> I guess brazilian portugese differs enough from the language spoken in portugal that it merits a separate translation; the same for chinese as spoken in mainland china and in taiwan
[14:26:17] <jepler> de_AT is another example I find in the "real world"
[14:26:22] <SWPadnos> ok
[14:26:28] <jepler> in emc we have pt_BR and zh_CN
[14:29:28] <CIA-67> EMC: 03jepler 07TRUNK * 10emc2/src/hal/components/encoder.c: allow specification of minimum speed for software encoder to estimate as nonzero
[14:43:20] <CIA-67> EMC: 03jepler 07TRUNK * 10emc2/src/emc/usr_intf/emcsh.cc: tcl and tk are system headers
[14:43:33] <CIA-67> EMC: 03jepler 07TRUNK * 10emc2/src/emc/usr_intf/schedrmt.cc: fix compile warning
[14:49:19] <CIA-67> EMC: 03jepler 07TRUNK * 10emc2/src/ (Makefile Makefile.inc.in configure configure.in): (log message trimmed)
[14:49:19] <CIA-67> EMC: revamp translation-related stuff
[14:49:19] <CIA-67> EMC: gettext style is to use one translation per package, so put everything in
[14:49:19] <CIA-67> EMC: "emc2.mo" regardless of whether it is for axis, tcl, rs274, or newly translated
[14:49:19] <CIA-67> EMC: subprograms.
[14:49:21] <CIA-67> EMC: make halscope and halmeter translatable as micges has expressed interest in
[14:49:25] <CIA-67> EMC: doing a translation
[14:49:27] <CIA-67> EMC: 03jepler 07TRUNK * 10emc2/src/emc/usr_intf/pncconf/pncconf.py: (log message trimmed)
[14:49:29] <CIA-67> EMC: revamp translation-related stuff
[14:49:31] <CIA-67> EMC: gettext style is to use one translation per package, so put everything in
[14:49:33] <CIA-67> EMC: "emc2.mo" regardless of whether it is for axis, tcl, rs274, or newly translated
[14:49:35] <CIA-67> EMC: subprograms.
[14:49:37] <CIA-67> EMC: make halscope and halmeter translatable as micges has expressed interest in
[14:49:39] <CIA-67> EMC: doing a translation
[14:49:41] <CIA-67> EMC: 03jepler 07TRUNK * 10emc2/src/hal/utils/ (meter.c scope.c): (log message trimmed)
[14:49:43] <CIA-67> EMC: revamp translation-related stuff
[14:49:45] <CIA-67> EMC: gettext style is to use one translation per package, so put everything in
[14:49:47] <CIA-67> EMC: "emc2.mo" regardless of whether it is for axis, tcl, rs274, or newly translated
[14:49:53] <CIA-67> EMC: subprograms.
[14:49:55] <CIA-67> EMC: make halscope and halmeter translatable as micges has expressed interest in
[14:49:57] <CIA-67> EMC: doing a translation
[14:49:59] <CIA-67> EMC: 03jepler 07TRUNK * 10emc2/src/emc/usr_intf/axis/Submakefile: (log message trimmed)
[14:50:01] <CIA-67> EMC: revamp translation-related stuff
[14:50:03] <CIA-67> EMC: gettext style is to use one translation per package, so put everything in
[14:50:07] <CIA-67> EMC: "emc2.mo" regardless of whether it is for axis, tcl, rs274, or newly translated
[14:50:09] <CIA-67> EMC: subprograms.
[14:50:11] <CIA-67> EMC: make halscope and halmeter translatable as micges has expressed interest in
[14:50:13] <CIA-67> EMC: doing a translation
[14:50:15] <CIA-67> EMC: 03jepler 07TRUNK * 10emc2/src/emc/usr_intf/axis/scripts/axis.py: (log message trimmed)
[14:50:17] <CIA-67> EMC: revamp translation-related stuff
[14:50:19] <CIA-67> EMC: gettext style is to use one translation per package, so put everything in
[14:50:25] <CIA-67> EMC: "emc2.mo" regardless of whether it is for axis, tcl, rs274, or newly translated
[14:50:27] <CIA-67> EMC: subprograms.
[14:50:29] <CIA-67> EMC: make halscope and halmeter translatable as micges has expressed interest in
[14:50:31] <CIA-67> EMC: doing a translation
[14:50:33] <CIA-67> EMC: 03jepler 07TRUNK * 10emc2/src/po/ (33 files): (log message trimmed)
[14:50:35] <CIA-67> EMC: revamp translation-related stuff
[14:50:39] <CIA-67> EMC: gettext style is to use one translation per package, so put everything in
[14:50:41] <CIA-67> EMC: "emc2.mo" regardless of whether it is for axis, tcl, rs274, or newly translated
[14:50:43] <CIA-67> (17 lines omitted)
[14:51:04] <jepler> whee
[14:51:07] <jepler> now let's find out if I broke buildbot
[14:51:15] <SWPadnos> coffee time!
[14:51:17] <SWPadnos> :)
[15:04:15] <cradek> that's amazing, congratulations
[15:10:29] <cradek> oh, forget it :-)
[15:27:58] <skunkworks188> holy crap - that is a lot of changes.
[15:28:50] <jepler> it only looks that way
[15:33:34] <CIA-67> EMC: 03jepler 07TRUNK * 10emc2/src/Makefile: copy tcl message catalogs from the right place when installing
[15:45:18] <jepler> hi seb
[15:45:48] <seb_kuzminsky> morning :-)
[15:46:13] <seb_kuzminsky> last night was boring
[15:46:23] <seb_kuzminsky> i used my boring head for the first time in my mill :-)
[15:46:34] <seb_kuzminsky> it makes much prettier holes than my crooked dull drill bits
[15:46:40] <cradek> neat
[15:47:03] <cradek> pretty holes are easy - did you manage pretty AND the right size?
[15:47:10] <cradek> I sure haven't mastered that yet
[15:47:46] <jepler> make[1]: Warning: File `po/es.po' has modification time 1.3e+02 s in the future
[15:47:50] <jepler> hm I notice this in the buildbot output
[15:47:56] <cradek> oops
[15:49:04] <jepler> (why does 'cvs up' / 'cvs co' set files to timestamps sent by the remote server, as opposed to the local time? this must be a FAQ but I don't know the answer)
[15:49:06] <seb_kuzminsky> hm, the buildmaster clock is right, i wonder if the buildslave vms are off
[15:49:38] <cradek> is the cvs time wrong?
[15:49:48] <jepler> About CVS
[15:49:49] <jepler> CVS is the most popular version control system available today.
[15:49:55] <jepler> -- third hit on 'cvs faq'
[15:50:03] <cradek> funny
[15:50:23] <cradek> cvs time looks right, I think
[15:52:03] <seb_kuzminsky> the lenny buildslave clock is correct, the dapper buildslave clock is off
[15:52:24] <jepler> I am not sure now which log I was looking in
[15:52:24] <seb_kuzminsky> * seb_kuzminsky apt-get installs ntp
[15:52:28] <jepler> probably lenny or hardy
[15:52:35] <seb_kuzminsky> i havent checked hardy yet
[15:54:11] <jepler> huh, http://cvsbook.red-bean.com/cvsbook.html#cvsq -- Queue CVS Commands For Later Connection
[15:54:25] <jepler> (oops, that link probably won't take you to the right anchor)
[15:55:20] <seb_kuzminsky> hardy's clock is off too
[15:55:53] <jepler> (I bet that works sufficiently unlike git's commit that it wouldn't make me happy)
[15:58:57] <seb_kuzminsky> are you pretty happy with git?
[15:59:59] <jepler> yeah -- I've been using it to do kernel development on beagleboard and I'm becoming quite comfortable with it
[16:00:07] <seb_kuzminsky> cool
[16:00:09] <jepler> it's also what I'm choosing for my small personal projects
[16:01:20] <seb_kuzminsky> is there a way in git to have several branches "visible" at a time (checked out in different places), while letting those branches share repo history in a single repo?
[16:02:05] <micges> logger_dev: bookmark
[16:02:05] <micges> Just this once .. here's the log: http://www.linuxcnc.org/irc/irc.freenode.net:6667/emcdevel/2009-05-13.txt
[16:03:05] <jepler> seb_kuzminsky: yes.
[16:03:35] <jepler> seb_kuzminsky: if you 'git clone' a local repository, it uses hardlinks to share the objects
[16:04:03] <jepler> seb_kuzminsky: there's also 'git clone --reference' which I haven't used; the docs say that it will use objects from the local repository to reduce the amount transferred to clone the remote repository
[16:05:28] <jepler> seb_kuzminsky: if you 'git clone' a local repository, it uses hardlinks to share the objects
[16:05:32] <micges> jepler: cool change with locales
[16:05:34] <jepler> seb_kuzminsky: there's also 'git clone --reference' which I haven't used; the docs say that it will use objects from the local repository to reduce the amount transferred to clone the remote repository
[16:05:38] <jepler> http://pastebin.ca/1421669
[16:06:38] <micges> seb_kuzminsky: when you have time I have bug report about mesa stepgens for you
[16:06:52] <seb_kuzminsky> micges: i'd like to hear it
[16:07:26] <micges> ok
[16:07:40] <micges> 2d machine with 2 stepgens on mesa
[16:08:15] <micges> same parameters but on first scale is 320 and on y scale is -320
[16:08:17] <seb_kuzminsky> jepler: when using the hardlink trick, does adding stuff in one branch/repo and then pulling to the other result in new hardlinks?
[16:08:49] <micges> on X(with positive scale) everything is ok machine is smooth
[16:09:02] <jepler> seb_kuzminsky: I am not sure about that
[16:10:01] <micges> on Y after homing and then moving to y max (1500) aBOUT 1450 y axis is slowing down, stops and reverse direcrtion
[16:10:16] <seb_kuzminsky> micges: hmm
[16:10:19] <micges> and the osscilating very large
[16:10:32] <micges> about 0.01 osscilations
[16:10:55] <seb_kuzminsky> micges: it sits at Y=1450 and jitters back and forth?
[16:11:00] <micges> when I've changed scale to +320 on both axes, everything is ok
[16:11:20] <micges> no
[16:11:46] <micges> it goes to 1450 then still holding jog it stops and reverse direction
[16:11:55] <micges> and after stopping jog it osscilating
[16:12:02] <seb_kuzminsky> 2.3.0?
[16:12:20] <micges> latest 2.3 branch
[16:13:32] <micges> 2.3 branch from about 3 may
[16:13:57] <seb_kuzminsky> that should be good
[16:14:00] <seb_kuzminsky> i'll look into it
[16:14:24] <SWPadnos> micges, you may need to post the hal/ini setup
[16:14:34] <SWPadnos> are you using velocity mode or position mode?
[16:14:48] <SWPadnos> err, I guess there's only velocity at the moment
[16:14:58] <seb_kuzminsky> hm2 stepgen has only pos mode
[16:17:34] <SWPadnos> oh hey seb_kuzminsky, maybe stick it on the to-do list to add onboard LED support to hm2
[16:17:43] <SWPadnos> I think I scanned the source and didn't find that
[16:18:24] <seb_kuzminsky> it's on the list, waaaaayyyyy down at the bottom ;-)
[16:18:38] <seb_kuzminsky> but it should be quick to add
[16:19:11] <SWPadnos> heh
[16:19:26] <SWPadnos> if it weren't for all the module descriptor stuff, I'd add it myself
[16:19:35] <SWPadnos> well that and the caft fact that I'm slammed at the moment
[16:19:59] <seb_kuzminsky> we can do it together at Fest if you like, it's pretty straightforward
[16:20:08] <skunkworks188> SWPadnos: did you get your atoms?
[16:20:43] <SWPadnos> all 15 of them
[16:21:02] <skunkworks188> nice. small huh
[16:21:21] <skunkworks188> loaded anything on them yet?
[16:21:27] <SWPadnos> yep, I'm installing now
[16:21:30] <SWPadnos> got 5 or so done
[16:21:44] <SWPadnos> they are pretty small, and the case I now have is darned small
[16:22:03] <SWPadnos> 8x10x3 inches or so
[16:22:20] <skunkworks188> nice - custom or something you found?
[16:22:26] <SWPadnos> http://www.mini-box.com/M300-LCD-Enclosure?sc=8&category=87
[16:22:53] <skunkworks188> hey - look at that.
[16:23:15] <SWPadnos> these are quite a bit more expensive than the other case, since you need a power supply
[16:23:25] <SWPadnos> and you need a picoPSU, there isn't room for anything else
[16:23:37] <skunkworks188> so one of the slow onboard usb will run the display?
[16:23:47] <SWPadnos> yes
[16:23:53] <skunkworks188> very neat
[16:24:03] <SWPadnos> the keypad is an input device, the display is supported by lcdproc and others
[16:25:02] <micges> ini: http://www.pastebin.ca/1421676
[16:25:37] <micges> hal: http://www.pastebin.ca/1421679
[16:26:22] <micges> seb_kuzminsky: this is correct working config
[16:26:56] <micges> when you change scale to -256 on axis_1 section then it will show bug
[16:27:17] <SWPadnos> is dirhold meant to be different on axis 1?
[16:28:15] <micges> err no..
[16:29:11] <seb_kuzminsky> you dont need both hm2_5i20.0.read() and hm2_5i20.0.read_gpio()
[16:29:16] <seb_kuzminsky> and the same for write
[16:29:48] <micges> was copy from some example config
[16:29:56] <micges> I'll change it
[16:29:57] <seb_kuzminsky> shouldnt the hm2 read() come before the motion planner runs?
[16:31:17] <seb_kuzminsky> you dont set hm2's stepgen.dirsetup, so it defaults to "really slow"
[16:31:59] <micges> probably was changed after strange things happened
[16:32:44] <cradek> the correct order is hm2.read, motion-command-handler, motion-controller, pid, hm2.write hm2.pet_watchdog
[16:33:03] <cradek> well the watchdog could be anywhere, but the others are very important
[16:33:06] <micges> it was set for values from data sheet of driver on begining
[16:34:19] <SWPadnos> you can fix the function order by removing the {read,write)_gpio lines, and adding 1 to the read line and -1 to the write line
[16:34:27] <SWPadnos> unless there are more functions added later :)
[16:34:39] <SWPadnos> the 1 will put read at the beginning, -1 puts write at the end
[16:34:55] <micges> ok
[16:34:55] <SWPadnos> (well, or whatever function you're adding ... :) )
[16:36:11] <jepler> // the ".5/scale" is 1/2 of the step size in position units
[16:36:12] <jepler> if (fabs(error_at_match) < (0.5 / s->hal.param.position_scale)) {
[16:36:12] <jepler> velocity_cmd = ff_vel;
[16:36:31] <alex_joni> missing a fabs() in there
[16:36:32] <SWPadnos> oops
[16:36:37] <jepler> yes, that's my guess
[16:37:06] <jepler> I suggest you try this patch (untested): http://emergent.unpy.net/files/sandbox/hostmot2-negative-scale.patch
[16:38:35] <micges> thanks
[16:39:25] <micges> I writed down all suggestions about config and will apply them
[16:39:29] <micges> and patch too
[16:39:38] <micges> thanks for you all
[16:40:58] <jepler> it would be great if you can let me know by friday whether it fixes your bug
[16:41:06] <jepler> because I hope to make the 2.3.1 release this weekend
[16:41:17] <micges> I can't
[16:41:50] <micges> I will have next machine to program at end of next weekend :|
[16:42:00] <jepler> OK, I won't hold the release for that
[16:42:15] <alex_joni> jepler: maybe seb_kuzminsky will test it
[16:42:15] <jepler> but the fix won't be in 2.3.1 unless someone can confirm the problem and the fix
[16:44:52] <seb_kuzminsky> i'll do it tonight
[16:44:58] <seb_kuzminsky> but it looks to me like you found it
[16:45:12] <seb_kuzminsky> * seb_kuzminsky adds a negative scale stepgen config to the hm2 test suite
[16:50:12] <SWPadnos> you've got negative scale encoders, right?
[16:50:31] <SWPadnos> (not that this type of problem should occur there, but vel output could be affected)
[16:51:06] <seb_kuzminsky> SWPadnos: yes
[16:51:15] <SWPadnos> cool
[16:58:55] <seb_kuzminsky> jepler: how do you say "throw away all my uncommitted changes and restore my working dir to the most recent commit" in git-speak?
[17:19:44] <SWPadnos_> SWPadnos_ is now known as SWPadnos
[17:29:21] <jepler> seb_kuzminsky: git reset --hard
[17:29:36] <jepler> seb_kuzminsky: you can do it with single files with 'git checkout paths...'
[17:30:53] <seb_kuzminsky> cool
[17:31:03] <jepler> seb_kuzminsky: and use "git stash" if you'd like to come back to this work later
[17:31:13] <seb_kuzminsky> seems confusing that reverting one file is a different command than reverting the whole thing
[17:32:03] <seb_kuzminsky> i was telling my darcs-loving friend about "bzr shelve", which is like "git stash"
[17:32:14] <seb_kuzminsky> he said "wow, that's only one step clunkier than darcs!"
[17:32:51] <seb_kuzminsky> darcs commits sets of hunks rather than whole pending patch
[17:33:03] <seb_kuzminsky> so it's like "stash" followed by "commit"
[17:33:09] <seb_kuzminsky> kinda neat
[17:33:16] <seb_kuzminsky> * seb_kuzminsky is not suggesting we use darcs
[17:33:35] <jepler> in git you can select to commit by hunk
[17:33:45] <seb_kuzminsky> oh cool
[17:33:45] <jepler> it's just not the default, and you have to use a clunky commandline to do it
[17:33:49] <seb_kuzminsky> heh
[17:34:03] <jepler> and it assumes that 'diff' finds the right boundaries
[17:34:08] <jepler> at least I think it's based on diff
[17:34:12] <seb_kuzminsky> aye, that's the rub
[17:36:35] <jepler> here's a 'git add --interactive' session: http://pastebin.ca/1421748
[17:37:01] <jepler> there were two hunks; I committed the second, then used 'git diff' to see that the second is still in my tree not committed
[17:41:36] <jepler> (man, README needs updated! and it's not just git telling me that)
[19:28:48] <micges> jepler: debuglevel is not translating
[19:29:05] <micges> gettext.install("axis", localedir=os.path.join(BASE, "share", "locale"), unicode=True)
[19:43:12] <SWPadnos> argh
[19:43:25] <skunkworks188> uh oh
[19:43:33] <jepler> micges: aha, thanks
[19:44:04] <SWPadnos> one must use a clearance hole in the PCB so that the thread-forming screws can go through it into the plastic case underneath
[19:44:10] <SWPadnos> sigh
[19:45:25] <CIA-67> EMC: 03jepler 07TRUNK * 10emc2/src/emc/usr_intf/axis/scripts/ (debuglevel.py hal_manualtoolchange.py image-to-gcode.py): the name of the message catalog changed
[19:45:34] <jepler> micges: I found two other programs I'd forgotten to change as well
[19:45:59] <micges> I see
[19:46:23] <jepler> micges: let me know if it's still not fixed, I didn't actually test the change
[19:47:07] <micges> ok
[19:47:39] <skunkworks188> SWPadnos, ?
[19:48:30] <micges> manualtoolchange works
[19:49:02] <micges> image-to-gcode also works
[19:54:25] <SWPadnos> skunkworks188, I just got the screws for the PC boards I designed last week, which is when I noticed that the 6 mounting holes per board need to be bigger
[19:54:31] <SWPadnos> times 20 boards
[19:54:39] <SWPadnos> which are already populated
[19:55:07] <SWPadnos> on the bright side, the washers do fit nicely, and they are thick enough to make the connectors ride above the bottom section of the case
[19:57:10] <seb_kuzminsky> SWPadnos: you have a lathe, right? smaller screws! :-P
[19:57:23] <SWPadnos> har
[19:57:31] <alex_joni> superglue
[19:57:39] <seb_kuzminsky> chewing gum
[19:58:07] <alex_joni> seb_kuzminsky: that doesn't keep it together for 2 months
[19:59:22] <seb_kuzminsky> later guys
[20:23:31] <skunkworks188> skunkworks188 is now known as skunkworks_
[20:55:37] <micges> good night all