#emc-devel | Logs for 2008-12-03

Back
[00:47:47] <CIA-42> EMC: 03bigjohnt 07TRUNK * 10emc2/docs/src/ladder/classic_ladder.lyx: minor fix
[00:52:07] <CIA-42> EMC: 03bigjohnt 07v2_2_branch * 10emc2/docs/src/hal/comp.lyx: minor fix
[01:02:53] <CIA-42> EMC: 03bigjohnt 07v2_2_branch * 10emc2/docs/src/Master_Integrator.lyx: that looks better
[01:25:08] <CIA-42> EMC: 03jmkasunich 07TRUNK * 10emc2/TODO: note to self: clean out abandoned development
[01:39:11] <skunkworks> skunkworks is now known as skunkworks__
[01:40:55] <skunkworks__> skunkworks__ is now known as skunkworks___
[01:41:00] <SWPadnos> I see a Mshaver config in IDParams.vhd :)
[01:41:10] <jmkasunich> swp: I was hoping I could do what you just said probably isn't possible
[01:41:58] <SWPadnos> which, use external #defines or replace the two lines?
[01:42:13] <jmkasunich> use external stuff
[01:42:24] <jmkasunich> there isn't a #define in vhdl (I don't think)
[01:42:30] <SWPadnos> well, it is possible if the c preprocessor can be used
[01:42:36] <jmkasunich> the lines in hostmot2 that are relevant are:
[01:42:42] <jmkasunich> use work.IDROMParms.all;
[01:42:55] <jmkasunich> (which says, I need the package IDROMParms"
[01:42:56] <jmkasunich> and
[01:43:01] <jmkasunich> ThePinDesc: PinDescType := PinDesc_JDosa66;
[01:43:01] <jmkasunich> TheModuleID: ModuleIDType := ModuleID_JDosa66;
[01:43:09] <jmkasunich> which take two constants from that package
[01:43:29] <jmkasunich> the "use" line does NOT say "I need file IDparms.vhd"
[01:43:36] <jmkasunich> the project file says which files are to be used
[01:43:56] <SWPadnos> I think by definition, all files in a directory are considered "part of the project"
[01:44:06] <jmkasunich> if I have 20 different files that define PinDesc, and put one of those names in the project file, it should? work
[01:44:14] <SWPadnos> in addition to any specifically listed libraries (like IEEE.std_logic...)
[01:44:30] <SWPadnos> no, I think you need to change the name
[01:44:34] <SWPadnos> like myPinDesc
[01:44:37] <SWPadnos> and yourPinDesc
[01:44:51] <jmkasunich> then why do they bother with a project file?
[01:45:01] <SWPadnos> then change the two lines in the generic map
[01:45:26] <SWPadnos> you can combine VHDL and Verilog (and schematics ...) in a single project
[01:45:40] <SWPadnos> the rules are a little different there
[01:45:54] <SWPadnos> also, it includes specific pin constraint files, etc.
[01:46:11] <jmkasunich> so what happens if you have two files in a directory that both define the same symbol
[01:46:22] <jmkasunich> say, stepgen.vhd, and stepgen-backup.vhd
[01:46:26] <SWPadnos> I'm not sure
[01:46:56] <SWPadnos> hmmm. that could be part of the problem I had way back with the analog board stuff
[01:47:29] <SWPadnos> I had problems where the SPI module wouldn't change, and I may have made a backup copy of the source file before trying the changes
[01:47:59] <SWPadnos> definitely check the docs though, I'm not 100% sure I'm right on this
[01:48:44] <jmkasunich> what docs? the toolchain docs are clear as mud (and hiding somewhere)
[01:52:01] <SWPadnos> I think I learned that tidbit about the "working directory" either online or in a course at ESC
[01:52:10] <jmkasunich> hi seb
[01:53:07] <SWPadnos> ah, you have a default logical library called "work", which I believe is your working directory
[01:53:16] <seb_kuzminsky> hiya jmkasunich
[01:53:40] <seb_kuzminsky> i'm not here yet but i will be in an hour or two
[01:53:47] <jmkasunich> ok, mr not-here
[01:54:47] <SWPadnos> http://toolbox.xilinx.com/docsan/xilinx7/help/iseguide/mergedProjects/xsim/html/xs_r_vhpcomp.htm
[01:54:54] <SWPadnos> I wonder if vhpcomp is the program you want?
[01:55:12] <SWPadnos> http://toolbox.xilinx.com/docsan/xilinx7/help/iseguide/mergedProjects/xsim/html/xs_cl_vhpcomp.htm
[01:55:16] <jmkasunich> no, it is a simulator
[01:55:20] <SWPadnos> oh
[01:55:29] <SWPadnos> at least it has command line options :)
[01:55:39] <jmkasunich> I've used ghdl to do the same thing, but Free
[01:55:44] <jmkasunich> all the tools have command line options
[01:55:57] <jmkasunich> in fact, I just ran a make, and it appears to have worked
[01:56:17] <jmkasunich> using the existing arrangement for specifing the config
[01:57:38] <SWPadnos> ok, so manually edit then remake
[01:58:04] <jmkasunich> ?
[01:58:27] <SWPadnos> manyally edit I20Hostmot.vhd if you want to change the pindesc/moduledesc
[01:58:40] <jmkasunich> you mean just to see what it does?
[01:58:41] <SWPadnos> then remake (and get another hostmot2 with different stuff in it)
[01:58:44] <SWPadnos> no
[01:58:47] <jmkasunich> (my goal here is to NOT do that)
[01:59:04] <SWPadnos> "using the existing arrangement for specifing the config"
[01:59:14] <cradek> wonder how many people will answer Tom's question
[01:59:21] <SWPadnos> at least 1
[01:59:26] <cradek> 2
[01:59:30] <SWPadnos> heh
[01:59:34] <SWPadnos> your turn jmkasunich
[01:59:34] <cradek> I should have waited a few minutes.
[01:59:49] <SWPadnos> I wonder if we'll all have the same answer
[01:59:56] <cradek> it's so easy to answer because I bet we have all done that.
[02:00:23] <SWPadnos> who, me. never!
[02:01:17] <jmkasunich> someday, auto-addf
[02:01:28] <SWPadnos> hmmm
[02:01:34] <cradek> someday, all trucks will have fuel injection
[02:01:34] <jmkasunich> someday
[02:01:40] <SWPadnos> that would be tricky in systems with more than one thread
[02:01:54] <cradek> yeah I don't know how you'd know what thread to use
[02:02:12] <jmkasunich> ok, "someday, auto-addf-assist"
[02:02:16] <SWPadnos> heh
[02:02:30] <SWPadnos> halcmd: loadrt abs
[02:02:43] <SWPadnos> Would you like to add the function abs.0 to the servo thread?
[02:02:46] <SWPadnos> (y/n)
[02:02:52] <jmkasunich> that is useless
[02:02:56] <SWPadnos> :)
[02:03:13] <jmkasunich> it needs to look at what signals are inputs to abs, and what signals it drives, and figure out the proper order
[02:03:37] <jmkasunich> you'd have to pick one function to put in a thread (probably motmod's functions)
[02:03:45] <SWPadnos> sure, the slowest thread that has a user of the output
[02:03:55] <jmkasunich> then it would follow dependencies as far as it could, both upstream and down
[02:04:06] <SWPadnos> is the thread it goes into, some time before that function runs (the consumer function)
[02:04:08] <cradek> how would you determine ordering?
[02:04:10] <jmkasunich> and it would yell "help" when it couldn't resolve something
[02:04:51] <jmkasunich> cradek: this assumes a significant HAL change, such that each pin of a comp has some metadata, saying "function foo reads this pin" or "function bar writes this pin"
[02:04:54] <SWPadnos> the trouble is that you would have to fire off that scheduling function manually, unless you change the ordering with eack link
[02:05:07] <jmkasunich> any function that has no read pins can be executed early in a thread
[02:05:08] <SWPadnos> each
[02:05:18] <jmkasunich> any function that has no write pins can be executed at the end of a thread
[02:05:36] <cradek> I think I see what you mean - you should be able to figure it out, or pick one reasonable alternative
[02:06:13] <jmkasunich> SWPadnos: I don't think of this as a "live" thing
[02:06:41] <jmkasunich> more like, loadrt, link stuff, run a program, it spits out a pile of addf commands
[02:07:07] <SWPadnos> make haldepends > addf_file :)
[02:07:40] <SWPadnos> oh hey - an interesting PBS show. bbl
[02:11:18] <jmkasunich> SWPadnos: I think this is the doc I used to figure out the workflow and command lines: http://toolbox.xilinx.com/docsan/data/alliance/dev/dev.htm
[03:02:09] <SWPadnos> jmkasunich, interesting. there is a way of specifying a chip type on the command line (as one would hope)
[03:02:24] <SWPadnos> oh, maybe not
[03:02:41] <jmkasunich> chip type is specified in the .scr file, which is input to the synthesis step
[03:04:08] <SWPadnos> ok, the MAP program
[03:04:52] <SWPadnos> at least that chooses an architecture type to map elements to
[03:06:12] <jmkasunich> I have makefile code (from last year) that generates a .scr file and passes the chip info into the toolchain
[03:07:07] <SWPadnos> good thing. it's not something you can scan the docs for ;)
[03:09:34] <jmkasunich> I'm leaning toward an approach that uses a .in file
[03:10:16] <jmkasunich> I20HostMot2.vhd.in + myconfig.in thru a python prog to make myconfig.vhd
[03:10:55] <jmkasunich> myconfig.in is nothing more than one of the many stanzas in IDParms.vhd
[03:11:26] <SWPadnos> I wonder if it's possible to split that giant file into separate files, each with one pindesc/moduledesc
[03:11:36] <SWPadnos> and the common stuff in a separate file that's used by all those
[03:11:41] <jmkasunich> that is the specific goal I've been talking about all evening
[03:11:49] <SWPadnos> I still wonder if it's possible :)
[03:12:09] <jmkasunich> of course
[03:12:37] <jmkasunich> occam's razor - that file started out with only the common stuff and one stanza of config in it
[03:12:50] <jmkasunich> if that worked, then I should be able to return to that
[03:13:21] <SWPadnos> I didn't look at the first 300 lines to see if there are things that might conflict or get duplicated with multiple files using (a) one copy included somehow or (b) multiple copeis of that common stuff in the work dir
[03:13:35] <jmkasunich> the common stuff will remain in that file
[03:14:26] <SWPadnos> right - it's a question of how to USE or #include that in multiple files that I don't know
[03:14:48] <jmkasunich> brute force
[03:15:13] <SWPadnos> I guess I should download the xilinx tools tonight (it'll probably take all night now)
[03:15:36] <jmkasunich> myconfig.stuff + I20hostmot2.vhd = myconfig_i20hostmot2.vhd
[03:16:16] <jmkasunich> myconfig_i20hostmot.vhd will be labeled as an autogenerated file (and make will regenerate it if either of the source files changes)
[03:28:52] <SWPadnos> damn. I have an email with an authorization code for ISE 10.1, but I can't find the (2GB) file, which I'm sure I must have downloaded
[03:29:07] <jmkasunich> find / -size ?
[03:29:14] <jmkasunich> (dunno if there is a -size)
[03:29:25] <SWPadnos> find on which computer? :)
[03:29:34] <jmkasunich> how many do you have to try?
[03:29:49] <SWPadnos> I checked my desktop and my laptop. I think it's on the new workstation
[03:29:52] <jmkasunich> searching for size > 1.5G should narrow it down quite a bit
[03:30:09] <SWPadnos> if you only count computers then 3 or 4, but it's more if you count hard drives
[03:30:31] <SWPadnos> I think I might remember doing it on the new machine, but I don't know if that's before I installed 8.10 or after
[03:30:42] <SWPadnos> or if I upgraded that machine rather than reinstalling
[03:39:18] <CIA-42> EMC: 03seb 07TRUNK * 10emc2/src/emc/rs274ngc/ (interp_internal.hh rs274ngc.hh):
[03:39:18] <CIA-42> EMC: made some error-checking/stack-managing/logging macros sane, to make gcc fortify happy
[03:39:18] <CIA-42> EMC: i dont *think* i changed what the code does...
[03:40:55] <seb_kuzminsky> i guess paul took his buildslave and went home
[03:41:26] <jmkasunich> it is very late there
[03:41:55] <seb_kuzminsky> where paul lives?
[03:42:00] <jmkasunich> england
[03:43:34] <seb_kuzminsky> his buildslave went down 7 hours ago and he hasnt been responding to email
[03:43:42] <seb_kuzminsky> i guess if he's in england that explains it
[03:44:55] <seb_kuzminsky> gcc's fortify is a big step forward for code quality, i think if paul doesnt get his act together i might try to set up a sid or intrepid buildslave
[03:45:19] <jmkasunich> that would be nice to have
[03:46:38] <seb_kuzminsky> hm, intrepid and jaunty both have rtai packages in the ubuntu universe package repository...
[03:47:44] <SWPadnos> is gcc 4.3 available for 8.04?
[03:47:50] <seb_kuzminsky> no
[03:47:56] <seb_kuzminsky> 8.04 is like a million years old
[03:48:00] <seb_kuzminsky> sucks
[03:48:16] <jmkasunich> lol
[03:48:22] <seb_kuzminsky> come on backports guys, get your head in the game
[03:48:25] <SWPadnos> um, you mean Hardy Heron, the latest distribution we have? :)
[03:48:33] <seb_kuzminsky> the very same, sir
[03:48:49] <seb_kuzminsky> LTS is nice and all, but it definately comes at a price
[03:49:19] <seb_kuzminsky> has anyone tried ubuntu's rtai packages for hardy?
[03:50:08] <SWPadnos> hardy or intrepid?
[03:50:25] <SWPadnos> I think it's just a source package - it's pretty small IIRC
[03:50:33] <seb_kuzminsky> both
[03:50:46] <seb_kuzminsky> http://packages.ubuntu.com/search?suite=default&section=all&arch=any&searchon=names&keywords=rtai
[03:50:55] <seb_kuzminsky> * seb_kuzminsky apt-get installs rtai
[03:50:56] <SWPadnos> I may soon. the box I have ISE on is an intrepid machine
[03:51:15] <SWPadnos> unfortunately, the bastiges don't give away the 64-bit tools for free
[03:53:53] <seb_kuzminsky> oh it's only available for i386, and i'm on amd64
[03:54:02] <SWPadnos> RTAI?
[03:54:17] <seb_kuzminsky> yes, for intrepid
[03:55:13] <SWPadnos> ok, then I'll have the same problem
[03:55:59] <seb_kuzminsky> SWPadnos: the good stuff seems to be in rtai-source, 2.7 MB
[03:56:03] <SWPadnos> crap. even the EDK I have a license for isn't available in 64-bit
[03:56:05] <seb_kuzminsky> "This package contains the patches for modifying the kernel, and sources for building the kernel modules, module dependent runtime tools and the testsuite. "
[03:56:46] <jepler> SWPadnos: ise the xilinx environment? the free one for linux runs just fine on my machine in x86_64 mode. iirc I copied from an install on a 32-bit machine and then changed 'lin64' to 'lin' at one place in settings.sh.
[03:57:16] <jepler> SWPadnos: I'd already prepared the machine to run 32-bit stuff (skype and flash plugin)
[03:57:50] <SWPadnos> I'm sure it runs OK, but FPGA work is one of the few places where 64 bit support might actually be useful
[03:58:07] <jmkasunich> yer just bein' a snob
[03:58:10] <jepler> SWPadnos: is that something i'd need more than a gig of ram to seriously believe?
[03:58:26] <SWPadnos> heh - I'm not sure
[04:01:02] <SWPadnos> for the 5i20 it shouldn't matter, for something that actually uses a significant portion of the 5i22 it might
[04:02:18] <SWPadnos> oh, isn't that funny. EDK is supported in 64-bit on Linux, but not on Windows
[04:04:23] <jmkasunich> that is how it should be
[04:04:46] <SWPadnos> I agree
[04:04:53] <SWPadnos> it's just strange that it is :)
[04:36:27] <CIA-42> EMC: 03seb 07TRUNK * 10emc2/src/hal/halmodule.cc: the typedef is not needed in C++, this commit shuts up a g++ warning
[05:16:11] <SWPadnos> yay! I finally found out how to install ISE on a 64-bit system
[05:16:49] <SWPadnos> all you need is the 32-bit version of libuuid, which isn't installed by default. download, extract, copy to /usr/lib342, and voila
[05:17:53] <seb_kuzminsky> nice :-)
[05:20:28] <SWPadnos> of course, now it wants to download 1.5GB of updates
[05:20:41] <SWPadnos> to go from 10.2 (minor point rev unknows) to 10.1.03
[05:20:46] <SWPadnos> unknown
[12:04:03] <BigJohnT> is there a list somewhere of "things that will break when upgrading to 2.3"?
[12:06:12] <alex_joni> besides your monitor?
[12:06:24] <BigJohnT> yep
[12:07:04] <BigJohnT> if you use s32 innies and outies in classicladder 2.2 it will break when you go to 2.3 cause the name changes
[12:16:05] <BigJohnT> is there someplace in trunk to add this info?
[12:21:43] <BigJohnT> morning alex_joni
[12:26:56] <CIA-42> EMC: 03bigjohnt 07v2_2_branch * 10emc2/docs/src/ladder/classic_ladder.lyx: add info on s32's and fix default table
[12:28:51] <BigJohnT> nm I found it
[12:36:10] <CIA-42> EMC: 03bigjohnt 07TRUNK * 10emc2/docs/src/hal/comp.lyx: fix section title
[12:37:54] <CIA-42> EMC: 03bigjohnt 07TRUNK * 10emc2/docs/UPDATING: update the update file
[12:54:02] <alex_joni> BigJohnT: should be here: http://wiki.linuxcnc.org/cgi-bin/emcinfo.pl?UpdatingConfigurationsForDevelopmentVersions
[12:54:07] <alex_joni> not sure how up to date that is
[12:54:17] <alex_joni> for one seb didn't mention hm2 pin name changes
[12:54:58] <jepler> I doubt anybody's been updating UPDATING
[12:57:38] <jepler> interesting item of the day: http://beagleboard.org/ runs linux, has fpu, about 20 GPIOs, and maybe sub-30uS latency with "rt-preempt" patches
[13:03:37] <BigJohnT> UPDATING in trunk looks like a carry over from 2.2.x
[13:04:25] <BigJohnT> I see that Chris has updated the wiki page on classicladder
[13:10:44] <alex_joni> jepler: cool :)
[15:26:14] <BigJohnT> where does AXIS get the info to display Vel: on the backplot?
[15:28:53] <alex_joni> stat buffer
[15:28:58] <alex_joni> it comes from the TP
[15:29:08] <alex_joni> but it refers to current tooltip velocity
[15:29:21] <BigJohnT> can that be used by HAL?
[15:29:39] <alex_joni> if not directly, then we can export a pin from the motion controller that has the same value
[15:29:39] <SWPadnos> it's actual velocity, which is available in HAL anyway
[15:29:45] <SWPadnos> it's not the commanded velocity
[15:29:52] <alex_joni> but like SWPadnos says you can get that
[15:30:14] <alex_joni> BigJohnT: current (actual) velocity referrs to the velocity it's travelling any given monet
[15:30:19] <alex_joni> moment* even
[15:30:30] <BigJohnT> ok that is what I want
[15:30:42] <alex_joni> you can get that even per joint basis
[15:30:47] <alex_joni> look at the sim config
[15:30:53] <BigJohnT> ok
[15:30:56] <alex_joni> there are 3 ddt's in place
[15:31:01] <SWPadnos> ddt + hypot = vel :)
[15:31:07] <BigJohnT> :)
[15:31:13] <alex_joni> yeah, but a ddt / axis = axis_vel
[15:31:21] <alex_joni> ddt+hypot = 3d vel
[15:31:26] <SWPadnos> yep
[15:31:40] <BigJohnT> is commanded velocity in hal anywhere?
[15:31:50] <alex_joni> BigJohnT: actual/current velocity is way different from commanded vel. though
[15:31:54] <alex_joni> not right now
[15:32:09] <alex_joni> commanded velocity gets scaled 3/4 times before it reaches HAL levels :)
[15:32:24] <BigJohnT> yes, what I need is a corner lock for plasma
[15:32:43] <alex_joni> explain a bit more
[15:33:01] <alex_joni> you want a comparator commanded vel/actual vel to trigger corner lock?
[15:33:07] <SWPadnos> read back a little :)
[15:33:14] <BigJohnT> if commanded velocity is less than tolerance from actual turn off a bit that allows torch height control to work
[15:33:18] <alex_joni> I read that, but it made my head spin a bit..
[15:33:21] <BigJohnT> YES
[15:33:21] <SWPadnos> heh
[15:33:30] <alex_joni> BigJohnT: you mean the other way around
[15:33:40] <alex_joni> actual is less than commanded ..
[15:33:44] <BigJohnT> yes :?
[15:33:48] <BigJohnT> :/
[15:33:56] <alex_joni> ok :) then it's all good :)
[15:34:06] <alex_joni> here's a thought.. did you look how dallur did it?
[15:34:15] <BigJohnT> a while back I did
[15:34:27] <alex_joni> I'm sure you'll understand lots more now
[15:34:44] <alex_joni> there's an odt somewhere in CVS in one of the configs
[15:35:07] <BigJohnT> yes, I was hoping to come up with a "built in" solution so to speak so it will be easy for anyone to use a THC
[15:35:15] <BigJohnT> with EMC
[15:36:14] <alex_joni> I can understand that
[15:37:04] <alex_joni> http://cvs.linuxcnc.org/cvs/emc2/configs/plasma-thc/THCDesign.odg
[15:37:06] <BigJohnT> darn, I have to go and show a customer engineer how to change a timer value in a plc :/
[15:37:48] <BigJohnT> I'll have to look at when I get home... no linux boxes here
[15:38:49] <BigJohnT> talk to later
[15:40:55] <alex_joni> there is OO for win too :)
[17:22:12] <alex_joni> hi seb
[17:22:20] <seb_kuzminsky> hiya
[17:26:09] <SWPadnos> hmmm. so here's a weird one
[17:26:31] <SWPadnos> testing the G540, I can run G-code loops all day without missing any steps (assuming I don't lie to stepconf about latency)
[17:26:54] <alex_joni> * alex_joni senses a but
[17:27:04] <SWPadnos> yet when I jog 0.0001", with SCALE set to 10000, half the time the motor doesn't move
[17:27:23] <SWPadnos> (changed but to yet based on comments from the peanut gallery)
[17:28:37] <SWPadnos> and even stranger is that sometimes, it seems like it waits until there's a full step before moving (not 10 microsteps, but "a few" step pulses), then it moves a fair amount
[17:28:53] <SWPadnos> not necessarily the distance it should move, just a big step
[17:29:21] <alex_joni> odd
[17:29:24] <SWPadnos> yes
[17:29:44] <SWPadnos> I'm about to get the scope out to make sure the pulses are actually getting out the port
[17:29:56] <alex_joni> you do that.. but get halscope out :)
[17:30:02] <alex_joni> I'm sure they're getting out
[17:30:23] <SWPadnos> I'm sure HAL thinks they're being output, I'm more suspicious of an electrical problem
[17:30:31] <skunkworks_> do you actually lose steps though? Like if you run 1000 .0001 is it in the right place?
[17:30:44] <SWPadnos> if I run a program, no lost steps
[17:30:51] <SWPadnos> if I jog, lost steps all the time
[17:30:56] <skunkworks_> eww
[17:30:58] <SWPadnos> yeah
[17:31:03] <micges> hello
[17:31:14] <SWPadnos> I noticed it because it's possible that the last loop I ran lost 1 step
[17:31:29] <SWPadnos> so I went to jog the laser dot back to the right place, and the thing didn't move
[17:31:38] <SWPadnos> hi micges
[17:32:20] <alex_joni> if you put jog step 10 times higher.. how does it behave?
[17:32:22] <skunkworks_> * skunkworks_ thinks SWPadnos has a bunch of black dots painted on the walls around his room
[17:32:31] <alex_joni> burned not painted
[17:32:36] <SWPadnos> heh
[17:32:40] <micges> I'm using axis in all emc machines
[17:32:45] <SWPadnos> lemme check that alex
[17:33:05] <micges> and I have build machine for 3d engraving
[17:33:28] <micges> gcode files for this machine have about 300.000 lines
[17:34:23] <micges> and even on Pentium 4 and 1GB ram preview of axis has about 0.8 fps
[17:34:45] <SWPadnos> it definitely loses some of the steps, even on longer jogs
[17:35:13] <alex_joni> micges: depends on the video driver
[17:36:08] <micges> nvidia geforce 2
[17:40:47] <micges> and jogging with keyboard have high latencies
[17:41:51] <SWPadnos> I have noticed that AXIS seems to slow down a lot more that it used to (like the lastest user list email says)
[17:42:28] <SWPadnos> I don't know what made that change, but even a few hundred segments of backplot make it noticeably slower (on non-speed demon machines)
[17:43:02] <micges> I saw that too
[17:44:12] <jepler> BigJohnT: here's something for you to document: the special comments (AXIS,hide) (AXIS,show) (AXIS,stop) change how AXIS previews the gcode
[17:44:28] <jepler> BigJohnT: between (AXIS,hide) and (AXIS,show), the lines are skipped in the preview
[17:44:44] <SWPadnos> that doesn't affect backplot though, does it?
[17:44:47] <jepler> BigJohnT: when (AXIS,stop) is encountered, the preview ends even before M2 or % is encountered
[17:44:50] <jepler> SWPadnos: no
[17:45:04] <jepler> SWPadnos: your bug report would be useful if it told me when the slowness was added
[17:45:13] <SWPadnos> I agree
[17:45:17] <SWPadnos> I'll try to figure it out
[17:45:22] <jepler> I don't remember when I put the slowness in, so someone needs to narrow it down
[17:45:31] <SWPadnos> what, you don't keep notes?
[17:45:45] <jepler> apparently I wrote something else in the check-in message
[17:45:54] <SWPadnos> oh, code words
[17:46:29] <SWPadnos> "add reload tool table menu item" really means "reduce redraw speed due to excessive CPU power
[17:46:31] <SWPadnos> "
[18:14:42] <seb_kuzminsky> i think i hurt paul's feelings... :-/
[18:15:17] <alex_joni> I'm sure you can't do that
[18:15:22] <alex_joni> I doubt he has any
[18:16:01] <alex_joni> seb_kuzminsky: you mean because his buildslaves are offline?
[18:16:33] <seb_kuzminsky> his buildslaves have been offline for about a day
[18:16:59] <seb_kuzminsky> when he took it down i asked if it was to reboot to a kernel that can compile our drivers (needs CONFIG_PCI_LEGACY)
[18:17:34] <seb_kuzminsky> he refused for some BS reason and i called him on it
[18:24:28] <alex_joni> I'm curious what the reason was ..
[18:24:53] <seb_kuzminsky> he refuses to enable CONFIG_PCI_LEGACY
[18:24:55] <seb_kuzminsky> we need that
[18:25:00] <seb_kuzminsky> so we can't use his help
[18:25:03] <seb_kuzminsky> unfortunately
[18:25:05] <alex_joni> (if it's at least a plausible reason for disabling CONFIG_PCI_LEGACY)
[18:26:06] <seb_kuzminsky> "No.. Why would I want to do that ?" && "pci_find_device and pci_find_slot are deprecated"
[18:26:16] <seb_kuzminsky> that's his stated reasons
[18:26:44] <alex_joni> http://lkml.org/lkml/2008/11/21/19
[18:26:53] <seb_kuzminsky> the proper thing to do (as i think all of us, including paul, know) would be to change the code, then change the build requirements
[18:27:52] <SWPadnos> not a lot of users of that option
[18:28:46] <skunkworks_> wait - I thought paul was the name of the build machine..
[18:28:55] <alex_joni> emc2/src/Makefile.inc.in:
[18:29:02] <alex_joni> -CONFIG_HAL_5I2X=m
[18:29:07] <alex_joni> +CONFIG_HAL_5I2X=n
[18:29:33] <alex_joni> skunkworks_: run by a guy with the same name :)
[18:29:41] <alex_joni> (at least used to run..)
[18:30:04] <seb_kuzminsky> i'm not opposed to updating our code, but let's do it in a way that doesnt hork everything
[18:30:31] <alex_joni> seb_kuzminsky: making 2 drivers not build at all would probably be fine while we fix them
[18:30:40] <seb_kuzminsky> i'
[18:30:49] <alex_joni> the m5i20 should be removed anyways.. so it's probably not worth fixing :)
[18:31:04] <seb_kuzminsky> didn't jmk just make a commit to the TODO file to that effect?
[18:31:09] <alex_joni> yup
[18:31:24] <seb_kuzminsky> i'm all for it
[18:31:43] <alex_joni> * alex_joni looks at hordes of users running towards seb_kuzminsky for support
[18:32:00] <seb_kuzminsky> heh
[18:32:36] <seb_kuzminsky> we can't remove those drivers from 2.2, right? they'll need to be updated in that branch rather than removed
[18:32:55] <alex_joni> or having the 2.2.x branch fail on pauls buildslave
[18:33:04] <alex_joni> that's good enough for me :)
[18:34:06] <seb_kuzminsky> we have four drivers that use pci_find_device:
[18:34:10] <SWPadnos> I don't know that there was ever a working driver in the m5i2x tree
[18:34:14] <seb_kuzminsky> hal_m5i20
[18:34:16] <seb_kuzminsky> hal_motenc
[18:34:18] <seb_kuzminsky> hal_vti
[18:34:21] <seb_kuzminsky> opto_ac5
[18:34:40] <seb_kuzminsky> oh yes, and hal_5i2x
[18:35:26] <seb_kuzminsky> so after we fix those in 2.2 and fix or remove them in trunk, *then* we can change our advertised & tested build requirements
[18:35:57] <seb_kuzminsky> 2.2 has all those except opto_ac5 i think
[18:36:00] <SWPadnos> does hostmot2 use the new PCI calls?
[18:36:04] <seb_kuzminsky> yes
[18:36:17] <SWPadnos> ok, so we can also copy your code into the other drivers :)
[18:36:27] <alex_joni> nah.. way easier
[18:36:28] <seb_kuzminsky> the new code isn't a simple 1-to-1 replacement
[18:36:34] <alex_joni> get seb to do it :)
[18:36:41] <SWPadnos> oh right - seb, get on it.
[18:36:46] <seb_kuzminsky> it's all tied into the hotplug system
[18:36:47] <jepler> get paul to do it -- he's the one who is pretending to care if emc builds under these conditions
[18:36:49] <SWPadnos> you're the "new PCI interface" expert!
[18:36:55] <jepler> I don't see why you're letting him waste our time
[18:37:02] <seb_kuzminsky> so the structure of the driver changes somewhat
[18:37:15] <alex_joni> jepler's probably right
[18:37:21] <seb_kuzminsky> jepler: you're right
[18:37:23] <alex_joni> * alex_joni goes home
[18:37:34] <SWPadnos> it's hard to tell if that option (and the old interface) will be removed though
[18:37:51] <seb_kuzminsky> i asked paul in email several times if he was going to help fix the things he was expecting his build environment to break
[18:38:15] <seb_kuzminsky> i told him the supported platforms all had champions among the developers, and new build platforms needed to come with new champions
[18:38:35] <seb_kuzminsky> he ignored me the first couple of times i asked him (just didnt reply to that part of the email)
[18:39:20] <seb_kuzminsky> then the third time he said: "ff.. YES."
[18:40:00] <seb_kuzminsky> i'm not sure what he meant by ff... but the YES was enough for me to give him a buildslave account on our buildmaster
[18:41:20] <seb_kuzminsky> i'm done dealing with him for now, if he comes back with something useful i'll listen
[18:41:43] <SWPadnos> may have been the beginning of "ffs"
[18:44:11] <SWPadnos> oh hey - here's a Xilinx ISE question: do you know how to use the hostmot2_import.tcl script to create a project?
[18:44:23] <SWPadnos> I'm not sure that's still possible in ISE 10.1
[18:44:26] <jepler> I think there's "import tcl" in one of the menus
[18:44:54] <jepler> but I don't have ise handy
[18:44:58] <SWPadnos> yeah, I looked for it, but I think it may have been removed (there's a note that could say that in a "changes" section of some document I saw)
[18:45:23] <SWPadnos> you can still export, but I don't see an import option anywhere near it
[18:58:15] <jepler> how odd
[19:10:42] <SWPadnos> oh good. Gigabyte thinks that my board should be RMA'ed (after I sent them a photo of the crispy FET)
[19:11:48] <alex_joni> they'll just refill the magic smoke
[19:12:41] <skunkworks_> you could do it yourself if you had the right smoke fittings.
[19:13:19] <SWPadnos> I'm not sure what the problem is though - it's not necessarily the FET itself
[19:13:27] <SWPadnos> (though that's obviously bad now)
[19:13:31] <alex_joni> the right smoke is more problematic
[19:13:52] <SWPadnos> I have smkow pumps, but they seem to be stuck pumping in the wrong direction :)
[19:13:55] <SWPadnos> smoke
[19:14:31] <alex_joni> get a vacuum pump, and plug it reversed into a socket
[19:15:04] <SWPadnos> oooooh.
[19:15:10] <SWPadnos> I just tried running it upside down
[19:24:30] <skunkworks_> SWPadnos: have you scoped the gecko yet?
[19:24:37] <SWPadnos> nope
[19:29:54] <skunkworks_> that's ok
[19:30:01] <skunkworks_> no pressure
[19:34:49] <alex_joni> I'd rather test a gecko like this: http://www.wiesmann-auto-sport.de/ewirstart.html
[19:38:05] <alex_joni> Urban 17,6 l / 100 km <- /me lost interest
[19:38:29] <SWPadnos> wow. that would even be bad for an american car
[19:38:37] <jepler> hah
[19:39:16] <jepler> hm apparently my car is 8.4l/100km for city driving. crazy europeans and they way they measure fuel efficiency!
[19:39:17] <alex_joni> 13.36 MPG
[19:39:32] <SWPadnos> yeah - I estimated it at ~15 and also lost interest
[19:39:51] <SWPadnos> well, that and the EUR48k price tag for used ones
[19:39:52] <alex_joni> mine does about 6l/100km
[19:39:57] <alex_joni> ~40 MPG
[19:40:04] <jepler> diesel or gasoline?
[19:40:08] <alex_joni> diesel
[19:40:21] <alex_joni> 1.9 TDI
[19:40:23] <SWPadnos> that's what I used to get with my Plymouth Horizon
[19:40:31] <SWPadnos> 5-speed gasoline
[19:40:51] <alex_joni> now that's an ugly car :D
[19:41:00] <SWPadnos> heh
[19:41:09] <SWPadnos> about the same as a VW rabbit ;)
[19:41:18] <alex_joni> http://www.turbinecar.com/Scamp1.jpg <- like that?
[19:41:28] <SWPadnos> ewww. no way
[19:41:49] <SWPadnos> http://www.edmunds.com/pictures/VEHICLE/1990/Plymouth/4875/1990.plymouth.horizon.8918-396x249.jpg
[19:41:53] <alex_joni> that's what google said for plymouth horizon
[19:41:55] <SWPadnos> same car, different color (same year even)
[19:42:02] <SWPadnos> well it was wrong
[19:42:07] <alex_joni> slighly better :D
[19:42:31] <SWPadnos> lots of room (4 doors plus hatchback)
[19:42:47] <SWPadnos> got 40MPG normally, even got 30MPG towing a trailer
[19:42:55] <alex_joni> http://image.automobilemag.com/f/future_cars/2008/6699476/0609_x+2009_volkswagen_rabbit+front.jpg <- rabbit looks a bit nicer
[19:42:58] <alex_joni> imp
[19:43:00] <alex_joni> imo*
[19:43:08] <SWPadnos> we'd probably still have it if it hadn't been in an accident
[19:43:17] <SWPadnos> look at a 1990 rabbit
[19:43:58] <SWPadnos> http://ebeaa.org/graphics/578.jpg
[19:44:44] <alex_joni> nice ;)
[19:45:03] <alex_joni> btw.. the name rabbit is strange :)
[19:45:47] <alex_joni> we use vw golf over here ..
[19:45:53] <alex_joni> http://www.auto-tuning.cz/cg_digi/337a.jpg <- 1974 golf
[19:46:39] <SWPadnos> golf and rabbit aren't the same thing
[19:46:47] <SWPadnos> they were both sold here, I think at the same time
[19:47:07] <alex_joni> I know rabbit was some model available only in the US I think
[19:48:17] <alex_joni> "The Volkswagen Golf is a compact car/small family car manufactured by Volkswagen since 1974 and marketed worldwide across six generations, in various body configurations and under various nameplates -- prominently as the Volkswagen Rabbit in the United States and Canada (Mk1 and Mk5), and as the Volkswagen Caribe in Mexico (Mk1)."
[19:49:03] <SWPadnos> interesting
[19:49:33] <SWPadnos> I've only seen the same car merketed under different names when it was also different brand names, such as the Dodge Omni which was the same as a Plymouth Horizon
[19:50:02] <SWPadnos> but I'm quite sure there was a Golf here, so maybe it was a different car, or they just figured people would think they had a choice :)
[19:50:23] <alex_joni> or it was the imported model :)
[19:50:28] <SWPadnos> could be
[19:50:29] <cradek> I understand in Mexico there is a factory still making the rabbit with the original body style (80s) - they got the tooling when production of that model stopped in the US
[19:50:44] <alex_joni> the newer golf's weren't available until 2006 in the US
[19:50:49] <alex_joni> started in 2003 in europe
[19:51:00] <alex_joni> so maybe they did lots of imports
[19:51:10] <alex_joni> (the reverse happened with the new beatle)
[19:51:36] <alex_joni> http://en.wikipedia.org/wiki/Vw_rabbit
[19:51:47] <alex_joni> cradek: wikipedia says africa
[19:52:42] <cradek> oh sweet, there's a 4WD version of my car
[19:52:49] <cradek> holy crap
[19:53:11] <cradek> I want I want I want
[19:53:36] <cradek> ugh, Mk5/Mk6
[19:53:42] <cradek> cars are getting so ugly
[19:56:52] <cradek> actually I think the 92-99 version looks best of all fo them
[19:56:54] <cradek> of
[19:59:59] <alex_joni> the Mkx x>4 are harder to get used to :)
[20:00:10] <alex_joni> MK4 is nice imo
[20:00:11] <cradek> does that mean ugly?
[20:00:24] <cradek> mine is Mk4
[20:00:35] <alex_joni> at first, after a while you get indiferent, after another while you start to like them
[20:01:01] <skunkworks_> I really liked our jetta
[20:01:11] <cradek> Mk6 looks like some kind of suppository
[20:01:32] <alex_joni> mk6 seems angry
[20:02:28] <alex_joni> hmm.. the wikipedia page is odd.. you can buy MK6 over here
[20:03:09] <cradek> http://www.canadiandriver.com/articles/rp/03gtivr6.htm
[20:03:13] <cradek> ^ mine exactly
[20:03:25] <cradek> if they really mean 39 mpg, they are very clearly wrong
[20:03:37] <cradek> I've never had over 32
[20:03:46] <cradek> they probably mean 29
[20:04:32] <alex_joni> isn't yours red?
[20:04:40] <cradek> yes
[20:05:55] <cradek> GTI's have a tendency to lift the inside rear wheel off the tarmac when cornering
[20:06:00] <cradek> haha I don't think I've had that problem
[20:06:06] <cradek> maybe I drive differently somehow
[20:06:13] <alex_joni> 7.3 l/100 km => 32 MPG
[20:06:21] <alex_joni> their conversion is wrong
[20:06:57] <alex_joni> "When driven in the safe-and-sane manner prescribed by the rules of the road however, the GTI offers exceptional everyday road manners."
[20:07:16] <cradek> ha
[20:27:18] <skunkworks_> there is a tdi forum that used to have as its main picuture a vw cornering on the track with the inside wheel off the ground.
[20:29:31] <skunkworks_> ok it was both inside tires
[20:29:32] <skunkworks_> http://www.tdiclub.com/
[21:36:10] <alex_joni> good night all
[21:36:47] <skunkworks_> night alex
[21:37:02] <SWPadnos> see ya Alex
[22:18:32] <BigJohnT> jepler: I assume this is for trunk?
[22:19:27] <BigJohnT> off to the house with me
[23:29:29] <SWPadnos> well, the steps are going out to the G540, but it doesn't always move
[23:33:50] <BigJohnT> really
[23:34:03] <SWPadnos> yep
[23:34:23] <BigJohnT> you have the charge pump enabled or disabled?
[23:34:41] <SWPadnos> I just changed my test program so it only moves 0.0001 forward then back to 0, and the motor moves in spurts
[23:34:54] <SWPadnos> charge pump has to be enabled or the G540 doesn't run
[23:35:02] <BigJohnT> no it doesn't
[23:35:04] <SWPadnos> (unless that's what that hidden switch is for :) )
[23:35:11] <BigJohnT> yep that is the one
[23:35:29] <SWPadnos> nonetheless, it's enabled and the motor moves
[23:35:36] <SWPadnos> just not all the time when I tell it to
[23:35:49] <BigJohnT> try it with the charge pump disabled
[23:37:56] <SWPadnos> I'm pretty sure I moved the switch, and it
[23:38:04] <SWPadnos> it's doing the same thing
[23:38:32] <BigJohnT> try a different axis
[23:39:20] <SWPadnos> any particular reason, or just suggesting troubleshooting ideas?
[23:39:31] <BigJohnT> any idea on how this special comment works for AXIS (AXIS,hide) (AXIS,show) (AXIS, stop)
[23:39:35] <BigJohnT> troubleshooting
[23:39:42] <SWPadnos> ok :)
[23:39:53] <BigJohnT> there are 4 drives on the 540
[23:40:11] <SWPadnos> it'll be the same motor and cable, but I suppose it would be good to eliminate the drive itself
[23:40:17] <BigJohnT> yep
[23:41:05] <SWPadnos> as for the (AXIS,*) comments, if you put them in your G-code, they will tell axis to not put segments in the preview, to continue putting segments into the preview, or to stop previewing altogether
[23:41:40] <SWPadnos> if you have a G-code file that's supposed to loop forever, or is dependent on external input to stop looping, it's useful to be able to tell AXIS to not try to preview that :)
[23:41:45] <BigJohnT> I can't seem to make it work here and I upped this morning
[23:42:15] <SWPadnos> hmm
[23:42:27] <BigJohnT> ok it is for the preview only
[23:42:33] <BigJohnT> got it now boss
[23:42:41] <SWPadnos> right
[23:42:43] <BigJohnT> I'll get my dirt out of your hole
[23:47:08] <SWPadnos> Y axis is about the same
[23:47:23] <SWPadnos> or I should say "second driver is about the same"
[23:48:02] <BigJohnT> hmm, you have 3.3 or 5v parallel port?
[23:49:13] <SWPadnos> looks like about 3 at the end of the cable
[23:49:37] <BigJohnT> wonder if that has anything to do with it? just fishing in the dark...
[23:50:10] <SWPadnos> could be. I'd be surprised if this is a 3.3V parallel port though - it's on an old Celeron 500 computer
[23:50:41] <SWPadnos> I think it might have an ISA slot ;)
[23:50:55] <BigJohnT> do you have another computer to try it on?
[23:51:08] <SWPadnos> yes. I will shortly
[23:51:15] <BigJohnT> cool...
[23:51:27] <SWPadnos> I may even connect it up to the 5i20 in this machine to see what happens ;)
[23:51:51] <SWPadnos> oh hey - did you notice the big comment in the gs2_vfd driver?
[23:51:59] <SWPadnos> it's about usage (hint hint) :)
[23:52:07] <BigJohnT> no, I missed it
[23:52:24] <BigJohnT> on here or #emc
[23:52:48] <SWPadnos> in the source file :)
[23:53:13] <SWPadnos> I should make that into a --help or -h usage text to
[23:53:15] <SWPadnos> o
[23:53:22] <BigJohnT> ok how would you complete this sentence... loadrt loads a HAL component into...
[23:53:32] <SWPadnos> s/into//
[23:53:44] <SWPadnos> loadrt loads a realtime HAL component.
[23:54:04] <BigJohnT> and how does that differ from loadusr?
[23:54:14] <SWPadnos> loadusr loads a userspace program
[23:54:26] <SWPadnos> realtime components are kernel modules on RT systems
[23:54:56] <SWPadnos> (on sim systems, they're both userspace programs, but they're still conceptually different)
[23:55:13] <BigJohnT> is realtime the only one that you can have different threads on?
[23:55:43] <SWPadnos> realtime components need to have functions added to threads to do anything, whereas userspace programs are their own separate processes, which optionally talk to other HAL components via pins and parameters
[23:56:20] <BigJohnT> ok that is what I was looking for...
[23:56:32] <SWPadnos> great
[23:59:01] <BigJohnT> where is the gs2_vfd driver at ?