#emc-devel | Logs for 2008-11-11

Back
[00:00:07] <jmkasunich> you should have said so
[00:00:22] <jmkasunich> I was thinking "give him a day or two to right the manual, that means it will be ready when the code is"
[00:00:28] <jmkasunich> now the manual is ahead of the code
[00:00:41] <jmkasunich> guess I gotta get crackin' ;-)
[00:00:45] <BigJohnT> I put a note in there that it is not ready yet :)
[00:00:50] <jmkasunich> oh, good
[00:01:07] <BigJohnT> for once the manual is ahead of the programmers :O
[00:01:17] <jmkasunich> right now, trunk will parse the new codes, and will error if you are in abs mode and don't give both I and J
[00:01:29] <jmkasunich> but the results are still incremental regardless of what mode you are in
[00:01:44] <BigJohnT> cool
[00:04:57] <CIA-38> EMC: 03bigjohnt 07TRUNK * 10emc2/docs/src/lathe/lathe-user.lyx: the start of the lathe users chapter
[00:06:52] <CIA-38> EMC: 03bigjohnt 07v2_2_branch * 10emc2/docs/src/ (Master_User.lyx docs.xml index.tmpl): some minor additions
[00:06:53] <CIA-38> EMC: 03bigjohnt 07v2_2_branch * 10emc2/docs/src/common/ (Stepper_Diagnostics.lyx machining_center.lyx): some minor additions
[00:08:34] <CIA-38> EMC: 03bigjohnt 07v2_2_branch * 10emc2/docs/src/lathe/lathe-user.lyx: the start of the lathe user chapter
[00:08:45] <cradek> ooh, lathe docs
[00:09:40] <BigJohnT> just starting them cradek
[00:09:49] <cradek> neato, thanks!
[00:09:50] <cradek> bbl...
[00:09:54] <BigJohnT> trying to get all lathe related info into one spot
[00:11:23] <BigJohnT> * BigJohnT wanders upstairs to ...
[03:45:18] <jmkasunich> hmm - I'm at X2 Y2, and I issue G2 I2 J2 in absolute mode
[03:45:24] <jmkasunich> that is a zero radius arc
[03:45:46] <jmkasunich> there is code that tests for that, but it isn't triggering
[03:46:38] <SWPadnos> is it in a different code path from IJ arcs?
[03:46:49] <SWPadnos> normally you'd just test I and J for both=0
[03:48:12] <jmkasunich> radius = hypot((*center_x - current_x), (*center_y - current_y));
[03:48:12] <jmkasunich> radius2 = hypot((*center_x - end_x), (*center_y - end_y));
[03:48:12] <jmkasunich> CHK(((radius == 0.0) || (radius2 == 0.0)), NCE_ZERO_RADIUS_ARC);
[03:49:06] <SWPadnos> ok, after IJ or R format computations have determined the center point
[03:49:11] <SWPadnos> == is pretty dangerous with floats
[03:49:15] <jmkasunich> yes
[03:49:25] <jmkasunich> that's probably the problem
[03:49:35] <jmkasunich> this code is right after the calculation of the center
[03:49:41] <jmkasunich> which used to be
[03:49:45] <jmkasunich> *center_x = (current_x + i_number);
[03:49:45] <jmkasunich> *center_y = (current_y + j_number);
[03:49:57] <jmkasunich> now there is a branch, if absolute it is
[03:50:02] <jmkasunich> *center_x = (i_number);
[03:50:02] <jmkasunich> *center_y = (j_number);
[03:50:40] <jmkasunich> it sure seems like the float == problem
[03:50:43] <SWPadnos> yeah, some fuzz may be necessary
[03:50:48] <SWPadnos> icky, but necessary
[03:51:05] <SWPadnos> there should be an EPSILON defined somewhere (1E-20 or something in the interp I think)
[03:51:11] <jmkasunich> the very next test is the "radius to end of arc differs from radius to start"
[03:51:18] <jmkasunich> which has a convenient tolerance
[03:51:30] <SWPadnos> oh, convenient
[03:51:36] <jmkasunich> if the radius is less than the tolerance, should I call it a zero radius>
[03:51:37] <jmkasunich> ?
[03:52:20] <SWPadnos> that sounds reasonable, if the tolerance is sufficiently small
[03:53:00] <jmkasunich> it's small enough that it occaisionally annoys people who don't use enough digits in their g-code
[03:53:09] <jmkasunich> I'm tempted to use 1/2 or 1/10 of that though
[03:53:11] <SWPadnos> the differing radius problem is a little different, because the precision is limited to whetever the G-code had
[03:53:21] <SWPadnos> yeah, I'm thinking a couple of orders of magnitude
[03:53:36] <SWPadnos> what is that constant anyway? (1E-6 or so?)
[03:53:57] <jmkasunich> I don't think its a constant - it is passed into this routine
[03:54:02] <jmkasunich> I think there are units issues, etc
[03:54:03] <SWPadnos> oh, ok
[03:54:31] <jmkasunich> tolerance = (settings->length_units == CANON_UNITS_INCHES) ?
[03:54:31] <jmkasunich> TOLERANCE_INCH : TOLERANCE_MM;
[03:55:04] <jmkasunich> tempted to use 0.1*tolerance
[03:55:28] <SWPadnos> sounds good (except that 0.1 can't be exactly represented in a float ;) )
[03:55:40] <jmkasunich> who cares
[03:55:44] <SWPadnos> heh
[03:59:03] <jmkasunich> works better now
[03:59:15] <SWPadnos> cool
[03:59:22] <jmkasunich> non-comp'ed arcs seem to be working
[03:59:28] <jmkasunich> comp'ed ones will be harder to test
[03:59:37] <jmkasunich> mostly since I'm not very good with comp'ed arcs
[03:59:42] <SWPadnos> heh
[04:00:00] <SWPadnos> doesn't the test suite check comped arcs?
[04:00:03] <jmkasunich> and I can't seem to find my quick-ref card
[04:00:14] <jmkasunich> sure
[04:00:17] <jmkasunich> only two probs
[04:00:28] <jmkasunich> 1) I've never run it
[04:00:41] <jmkasunich> 2) it won't have any absolute arcs in it, since they are a new feature
[04:01:16] <SWPadnos> sure, but you can change some of the G-code to absolute (easy math), and the output shouldn't change
[04:01:21] <fenn> you shuld probably add absolute arcs to the test suite
[04:01:42] <jmkasunich> fenn: that would be the responsible thing to do
[04:02:31] <jmkasunich> it's 11pm here ;-)
[04:03:00] <jmkasunich> I should figure out how to run the existing test, if it passes, commit, and test the new stuff tomorrow
[04:03:26] <SWPadnos> you could block copy a few lines, change them to absolute, and also block copy the expected output (which should be the same as the relative IJ stuff you copied)
[04:03:38] <SWPadnos> oh yeah, that's the idea! :)
[04:03:46] <jmkasunich> runtests worked with the existing input and output
[04:04:54] <SWPadnos> yay!
[04:05:04] <SWPadnos> now change something and make sure it fails ;)
[04:05:12] <CIA-38> EMC: 03compile-farm 07Ubuntu 6.06 LTS (dapper) realtime (2.6.15-magma) * 10emc2.2branch/: build FAILED ; see http://linuxcnc.org/compile_farm/emc2.2branch_slot7_log.txt
[04:05:22] <jmkasunich> ftw?
[04:05:26] <SWPadnos> heh
[04:05:29] <SWPadnos> hmmm
[04:05:30] <jmkasunich> nobody committed anything lately
[04:05:39] <SWPadnos> oh, wtf? :)
[04:06:58] <jmkasunich> oh, seb committed something
[04:06:59] <SWPadnos> uh, typo in the makefile maybe
[04:07:05] <SWPadnos> no way abs needs hm2
[04:10:59] <jmkasunich> hopefully he's on it
[04:11:07] <SWPadnos> yeah, I hope so
[04:11:13] <jmkasunich> I'd like to let the dust settle and it pass before I do my commit
[04:11:18] <SWPadnos> I can't see a problem in the makefile
[04:11:22] <SWPadnos> yep
[04:14:17] <jmkasunich> common thread - all the realtime systems failed
[04:14:42] <SWPadnos> yeah, it's an hm2_pci problem, so it only gets built on RT
[04:19:01] <jmkasunich> well, I think I'll commit tomorrow
[04:19:07] <SWPadnos> good plan
[04:19:09] <jmkasunich> time to walk the dog
[04:19:13] <SWPadnos> night
[04:40:16] <seb_kuzminsky> i'm not gonna say it
[04:42:01] <SWPadnos> how about this: oops
[04:54:47] <jmkasunich> thanks for fixing it while I was out
[04:56:02] <jmkasunich> what's with CIA anyway?
[04:56:10] <jmkasunich> * jmkasunich kicks CIA-38
[04:56:10] <CIA-38> ow
[04:56:16] <jmkasunich> wake up dummy
[04:58:07] <seb_kuzminsky> need moar cores
[04:58:24] <jmkasunich> dunno what's up with cia
[04:58:42] <jmkasunich> it only got one of the fail messages, none of the passes, and neither your nor my commits
[04:59:21] <seb_kuzminsky> what exactly is CIA?
[04:59:53] <jmkasunich> a bot that watches for commits
[05:00:11] <jmkasunich> http://cia.vc/stats/project/emc
[05:00:26] <cradek> the cvs server sends a special email to 'somewhere' and 'they' kick their bot to tell us something
[05:00:53] <seb_kuzminsky> hm
[05:01:19] <cradek> whee, absolute center arcs are done?
[05:01:24] <jmkasunich> the pass/fail messages are sent from the farm machines direct to cia, so the clog in the tubes must be somewhere closer to cia than to here
[05:01:32] <jmkasunich> yes, all except for updating tests
[05:01:40] <jmkasunich> I did a few in MDI, seems to work fine
[05:02:01] <cradek> do the tests still pass as-is?
[05:02:09] <jmkasunich> yes
[05:02:13] <cradek> excellent
[05:02:23] <jmkasunich> I'd be incredibly astonished if they didn't
[05:02:38] <cradek> still, it's comforting
[05:02:39] <jmkasunich> if ( absolute ) { new stuff } else { exact old code }
[05:03:28] <jmkasunich> seb: you work on KDE _and_ Gnome?
[05:03:32] <jmkasunich> isn't there a rule against that?
[05:04:19] <seb_kuzminsky> i tried out kde for a little while, but switched back to gnome
[05:04:30] <seb_kuzminsky> i still have some kde machines
[05:04:43] <jmkasunich> I can understand using both
[05:04:50] <jmkasunich> CIA thinks you contribute to both
[05:05:03] <jmkasunich> or is it mixing up multiple seb's?
[05:05:03] <seb_kuzminsky> kde 4.0 was so bad, it turned me off kde for a while...
[05:05:12] <seb_kuzminsky> cia is smoking quack
[05:05:21] <seb_kuzminsky> it's someone else
[05:05:30] <jmkasunich> well, it is both of you
[05:05:43] <jmkasunich> http://cia.vc/stats/author/seb
[05:06:01] <seb_kuzminsky> heh
[05:06:12] <seb_kuzminsky> "Seb Ruiz" != "Seb Kuzminsky"
[05:06:21] <jmkasunich> didn't think so
[05:07:03] <jmkasunich> but on the internets, you knever know
[05:07:03] <seb_kuzminsky> we sebs are a dime a dozen
[05:07:32] <jmkasunich> kuzminsky otoh, is probably like kasunich
[05:11:18] <jmkasunich> seb_kuzminsky: jepler noticed that the buildbot's actually let you see the stdout from a build live
[05:11:20] <jmkasunich> (cool)
[05:11:30] <jmkasunich> he also noticed that it was almost painfully slow
[05:11:50] <jmkasunich> is that because of QEMU, or the host machine, or something else?
[05:11:53] <seb_kuzminsky> i think buildbot is cool
[05:12:08] <seb_kuzminsky> it's slow now because i'm running it on crappy hardware
[05:12:32] <jmkasunich> I tried qemu a while back, before moving to vmware server - it was quite slow
[05:12:38] <seb_kuzminsky> both buildslaves (hardy-x86 and dapper-x86) are running as QEMU VMs on a single-core 2.4 GHZ CPU...
[05:13:01] <seb_kuzminsky> the old cpu doesnt have hardware virtualization support, so no KVM
[05:13:09] <jmkasunich> I've got seven vmware VMs on a dual-code 2.4GHz
[05:13:16] <jmkasunich> dual-core that is
[05:13:23] <seb_kuzminsky> i run the two slaves on my dual-core 2.5 GHz laptop with VT and it rocks
[05:13:33] <jmkasunich> looks like all 7 builds took less than 10 minutes here
[05:13:38] <seb_kuzminsky> nice :-)
[05:13:48] <seb_kuzminsky> how much ram?
[05:13:56] <jmkasunich> 4G (3G usable)
[05:14:06] <jmkasunich> 3.something anyway
[05:14:27] <seb_kuzminsky> each build slot is another vmware vm on this host machine?
[05:14:31] <jmkasunich> the ubuntu server kernel lets me use all the ram, but then I have nvidia driver issues
[05:14:32] <jmkasunich> yes
[05:14:51] <jmkasunich> the "slot" terminology dates from when they were a blade system that I trashpicked from work
[05:15:07] <jmkasunich> 8x Pentium 200MHz "slots" in one 19" rack mount chassis
[05:16:03] <seb_kuzminsky> lol
[05:16:21] <jmkasunich> it was a nice system - top quality
[05:16:25] <jmkasunich> redundant power supplies, etc
[05:16:29] <seb_kuzminsky> nice
[05:16:43] <seb_kuzminsky> now if only each blade was 10x as fast ;-)
[05:16:54] <jmkasunich> slow of course, but considering that we used it from say 2004 thru 2006, it wasn't horrible
[05:17:00] <seb_kuzminsky> jepler: are you here? (or still in hiding?)
[05:17:10] <jmkasunich> he's probably gone - early sleeper
[05:17:11] <seb_kuzminsky> can't beat the dumpster finds
[05:17:45] <jmkasunich> I returned it to the same dumpster two years or so after I took it out
[05:18:14] <jmkasunich> the boards anyway, I took it apart and took the metal to a scrap dealer with the rest of my piles
[05:19:22] <jmkasunich> ah, found it in my notes - the farm started in April 2004
[05:21:27] <jmkasunich> I wonder when buildbot was started
[05:28:03] <jmkasunich> Registered : 2003-02-03 22:58 (sourceforge)
[07:26:25] <seb_kuzminsky> jepler: if you read back, i've merged (if you can call it that) the hostmot2 stuff from trunk to the 2.2 branch, all the firmware etc is ok, i'm ready for the 2.2.7 release
[07:38:47] <alex_jon1> alex_jon1 is now known as alex_joni
[11:31:02] <CIA-38> EMC: 03seb 07v2_2_branch * 10emc2/debian/changelog: Updated changelog with hostmot2 changes.
[11:31:02] <CIA-38> EMC: 03seb 07TRUNK * 10emc2/configs/hostmot2/ (hm2-2-boards.hal hm2.hal): Update sample config files to match the hostmot2 driver.
[11:31:03] <CIA-38> EMC: 03seb 07v2_2_branch * 10emc2/configs/hostmot2/ (hm2-2-boards.hal hm2.hal): Updated sample configs to match new hostmot2 driver.
[11:31:04] <CIA-38> EMC: 03seb 07v2_2_branch * 10emc2/src/hal/drivers/mesa-hostmot2/firmware/ (xilinx.mk README): Here's the rest of the merge. Hope i didnt miss too much...
[11:31:06] <CIA-38> EMC: 03seb 07v2_2_branch * 10emc2/src/hal/drivers/mesa-hostmot2/firmware/4i68/ (SV12.BIT SVST4_8.BIT SVST8_4.BIT): Here's the rest of the merge. Hope i didnt miss too much...
[11:31:08] <CIA-38> EMC: 03jmkasunich 07TRUNK * 10emc2/src/emc/rs274ngc/ (interp_arc.cc interp_convert.cc rs274ngc.hh): actual implementation of G90.1/G91.1 absolute arc centers - still need to update tests
[11:31:11] <CIA-38> EMC: 03seb 07v2_2_branch * 10emc2/src/hal/drivers/mesa-hostmot2/firmware/4i65/ (SV12.BIT SV12.PIN SVST8_4.BIT SVST8_4.PIN): Here's the rest of the merge. Hope i didnt miss too much...
[11:31:14] <CIA-38> EMC: 03seb 07v2_2_branch * 10emc2/src/hal/drivers/mesa-hostmot2/firmware/5i23/ (6 files): Here's the rest of the merge. Hope i didnt miss too much...
[11:31:17] <CIA-38> EMC: 03seb 07v2_2_branch * 10emc2/src/hal/drivers/mesa-hostmot2/firmware/7i43/ (9 files): Here's the rest of the merge. Hope i didnt miss too much...
[11:31:24] <CIA-38> EMC: 03seb 07v2_2_branch * 10emc2/src/hal/drivers/mesa-hostmot2/firmware/5i22/ (9 files): Here's the rest of the merge. Hope i didnt miss too much...
[11:31:27] <CIA-38> EMC: 03seb 07v2_2_branch * 10emc2/src/hal/drivers/mesa-hostmot2/ (18 files): Here's the rest of the merge. Hope i didnt miss too much...
[11:31:30] <CIA-38> EMC: 03seb 07v2_2_branch * 10emc2/src/hal/drivers/mesa-hostmot2/firmware/src/ (38 files): Here's the rest of the merge. Hope i didnt miss too much...
[11:31:33] <CIA-38> EMC: 03seb 07v2_2_branch * 10emc2/src/Makefile: Merging hostmot2 from Trunk to 2.2
[11:31:35] <CIA-38> EMC: 03seb 07v2_2_branch * 10emc2/debian/ (drivers.files.in emc2.files.in): Merging hostmot2 from Trunk to 2.2
[11:31:38] <CIA-38> EMC: 03seb 07v2_2_branch * 10emc2/docs/man/man9/ (hm2_pci.9 hm2_5i20.9 hm2_7i43.9 hostmot2.9): Merging hostmot2 from Trunk to 2.2
[12:02:23] <CIA-38> EMC: 03compile-farm 07Ubuntu 8.04 LTS (hardy) realtime (2.6.24-16-rtai) * 10emc2.2branch/: build FAILED ; see http://linuxcnc.org/compile_farm/emc2.2branch_slot4_log.txt
[12:02:23] <CIA-38> EMC: 03compile-farm 07BDI-4.51 (2.6.16.20-rtai) * 10emc2.2branch/: build FAILED ; see http://linuxcnc.org/compile_farm/emc2.2branch_slot6_log.txt
[12:02:58] <CIA-38> EMC: 03compile-farm 07Ubuntu 5.10 (breezy) realtime (2.6.12-magma) * 10emc2.2branch/: build FAILED ; see http://linuxcnc.org/compile_farm/emc2.2branch_slot2_log.txt
[12:35:44] <CIA-38> EMC: 03compile-farm 07Ubuntu 5.10 (breezy) realtime (2.6.12-magma) * 10emc2.2branch/: build PASSED
[12:35:45] <CIA-38> EMC: 03compile-farm 07Ubuntu 6.06 LTS (dapper) realtime (2.6.15-magma) * 10emc2.2branch/: build PASSED
[12:35:47] <CIA-38> EMC: 03compile-farm 07Ubuntu 8.04 LTS (hardy) realtime (2.6.24-16-rtai) * 10emc2.2branch/: build PASSED
[12:35:51] <CIA-38> EMC: 03compile-farm 07BDI-4.51 (2.6.16.20-rtai) * 10emc2.2branch/: build PASSED
[13:44:03] <jepler> alex_joni: this afternoon US time I hope to tag and do a tiny bit of testing for 2.2.7
[13:44:28] <jepler> I may not get the amd64 packages built, it depends how short on time I am
[13:59:55] <alex_joni> ok, I can do the same probably a bit earlier :)
[14:00:28] <alex_joni> I just got word back from Max, he's going to test the STG fix on friday, so it probably won't make 2.2.7
[14:00:34] <jepler> ok
[15:07:19] <cradek> I wonder why jmk changed the zero-radius-arc test
[15:08:13] <SWPadnos> because it didn't work for some absolute IJ arcs
[15:08:44] <SWPadnos> it was if (a==b), with a and b floats
[15:08:54] <SWPadnos> so there was some roundoff/precision problem
[15:09:00] <SWPadnos> (or so it seemed anyway)
[15:12:38] <cradek> I don't see why it wouldn't work
[15:13:08] <cradek> surely a-a == 0.0 for all a, even for floats
[15:13:30] <cradek> oh maybe tolerance is not the one from the user
[15:13:54] <cradek> duh, forget it
[15:14:19] <SWPadnos> oh, I didn't thikn of whether it's the G64P- tolerance
[15:14:19] <cradek> his test will be .00005 inch or .0005 mm
[15:14:37] <cradek> that seems perfectly reasonable
[15:14:38] <SWPadnos> yeah, it's the arc radius tolerance for roundoff
[15:14:45] <cradek> I was thinking it was the user tolerance.
[15:16:57] <jepler> cradek: ha aha "surely a-a = 0.0"
[15:17:16] <jepler> http://timeguy.com/cradek/01201480300
[15:17:41] <jepler> that's not quite a-a=0 but it's close
[15:18:20] <cradek> bah
[15:18:39] <cradek> how irritating
[16:29:51] <alex_joni> hmm.. I still never tried that proggy, what's the result?
[16:42:42] <cradek> which?
[16:43:57] <jepler> alex_joni: at least when compiled without debugging, it prints 1.49012e-09
[16:44:00] <jepler> er, without optimization
[16:45:00] <jepler> (no, it seems to do that regardless of the debugging level)
[16:56:27] <alex_joni> http://www.youtube.com/watch?v=qu0GToSvnxk
[16:58:44] <jepler> (optimization level)
[17:18:10] <alex_joni> jepler: and you said nobody uses image 2 gcode: http://www.imac.unavarra.es/~cnc/gcode.php
[17:24:29] <seb_kuzminsky> good morning
[17:24:56] <jepler> hi seb_kuzminsky
[17:25:00] <jepler> thanks for getting that stuff put in
[17:25:12] <seb_kuzminsky> thanks for waiting for my tardy ass
[17:25:15] <jepler> I'll tag the release this afternoon, and alex will build it for hardy/i386 when he gets a chance
[17:25:19] <jepler> hopefully soon
[17:25:27] <seb_kuzminsky> great
[17:25:34] <alex_joni> jepler: I can anytime starting now :)
[17:25:36] <jepler> since there are also some stg changes coming up, there'll be a chance for 2.2.8 too
[17:25:38] <seb_kuzminsky> i hope this will cut down on the hm2 chatter on emc2-users...
[17:25:51] <alex_joni> seb_kuzminsky: hopefully it'll turn chatter up
[17:26:04] <alex_joni> lots of thanks & congrats and it's working too well talk :P
[17:26:20] <seb_kuzminsky> well, we'll see ;-)
[17:26:56] <seb_kuzminsky> i was super jazzed to hear Ted Hyde is up and running with the 7i43 :-D
[17:27:11] <alex_joni> ted hyde?
[17:27:48] <seb_kuzminsky> he posted his success story in the "pissed off at emc2" thread that Richard Acosta started on Nov 7
[17:27:53] <alex_joni> ah, ok
[17:28:02] <jepler> * jepler tries to remember the release procedure
[17:28:08] <alex_joni> any news from ricardo?
[17:28:36] <seb_kuzminsky> none yet, i'm planning to ping him in the next few hours
[17:28:45] <alex_joni> ping?
[17:28:55] <seb_kuzminsky> ping == "hey how's your stuff going"
[17:29:17] <alex_joni> you mean email ping?
[17:29:20] <seb_kuzminsky> yes
[17:29:33] <alex_joni> ah, ok.. I usually use ping on irc ;)
[17:29:35] <seb_kuzminsky> no i'm sending him an icmp echo request :-P
[17:29:51] <alex_joni> and would have been surprised to learn that he is on irc
[17:29:57] <alex_joni> yah, that'll help :P
[17:30:17] <seb_kuzminsky> i'm surprised he's on the internet at all^W^W^W^W^W^W :-/
[17:31:01] <alex_joni> seb_kuzminsky: http://tools.ietf.org/html/rfc792
[17:32:30] <CIA-38> EMC: 03jepler 07v2_2_branch * 10emc2/VERSION: bump version for release
[17:32:30] <CIA-38> EMC: 03jepler 07v2_2_branch * 10emc2/src/configure: bump version for release
[17:32:32] <CIA-38> EMC: 03jepler 07v2_2_branch * 10emc2/debian/changelog: bump version for release
[17:32:38] <seb_kuzminsky> whooo!
[17:32:56] <alex_joni> ok, brb.. starting haryd to build i386 packages
[17:36:35] <skunkworks_> Cool beans. You guys do such great work.
[17:38:25] <skunkworks_> didn't ping start from the submarine days?
[17:42:47] <seb_kuzminsky> the story about ping: http://www.amazon.com/Story-about-Reading-Railroad-Books/dp/0448421658/ref=pd_bbs_sr_1?ie=UTF8&s=books&qid=1226425331&sr=8-1
[17:43:03] <seb_kuzminsky> "Using deft allegory, the authors have provided an insightful and intuitive explanation of one of Unix's most venerable networking utilities."
[17:54:20] <alex_joni> jepler: trying 'cvs -d:ext:alex_joni@cvs.linuxcnc.org/cvs export -d emc2.2.2.7 -r RELEASE_2_2_7 emc2'
[18:00:07] <jepler> alex_joni: is that not working?
[18:00:19] <alex_joni> well, I usually used co instead of export
[18:00:25] <jepler> oh I see
[18:00:38] <alex_joni> but export is actually more correct, cause it strips away all CVS folders
[18:00:56] <alex_joni> (hopefully I won't have to checkin anything :)
[18:01:45] <alex_joni> whee.. there are a lot of docs
[18:02:44] <seb_kuzminsky> lol @ CVS directories all throughout the source tree
[18:07:44] <alex_joni> seb_kuzminsky: don't be mean ;)
[18:10:36] <seb_kuzminsky> CVS dirs in the src tree caused my merge last night to be way more difficult than it should be
[18:10:47] <seb_kuzminsky> how do you guys merge in CVS?
[18:11:07] <alex_joni> cvs diff -u > file
[18:11:10] <alex_joni> then patch ?
[18:11:23] <alex_joni> or cvs up -j something
[18:12:06] <seb_kuzminsky> wow
[18:12:06] <seb_kuzminsky> ok
[18:12:25] <alex_joni> <- definately not an CVS expert
[18:12:36] <alex_joni> so take my advice with caution :)
[18:13:15] <seb_kuzminsky> well, it's probably better than what I was doing last night... i'll try it your way next time
[18:13:19] <seb_kuzminsky> unless we've switched to bzr by then :-P
[18:13:34] <alex_joni> yeah, like that's gonna happen ;)
[18:32:11] <alex_joni> Preparing to replace emc2 1:2.2.6 (using emc2_2.2.7_i386.deb)
[18:33:51] <alex_joni> looks to be ok..
[18:33:56] <alex_joni> * alex_joni runs a couple more tests
[18:33:57] <skunkworks_> wow - actual screenshot http://www.tuxcnc.org/pivot/entry.php?id=18#body
[18:34:14] <alex_joni> skunkworks_: old news :P
[18:34:58] <skunkworks_> heh - Out of the loop.
[18:37:16] <micges> hi all
[18:37:46] <micges> anyone have some experience with adlink interface cards ?
[18:37:58] <micges> www.adlinktech.com
[18:46:14] <alex_joni> humm.. there's something wrong with the scara kinematics
[18:46:41] <alex_joni> sorry.. puma
[18:46:57] <alex_joni> guess it always was :/
[18:54:15] <alex_joni> jepler: it all looks good, should I push it to the repo? or wait for cradek/you to build for dapper?
[18:54:23] <jepler> micges: some people on the mailing list talked about those cards, but SWPadnos says that the programming details are not available without an NDA
[18:54:30] <jepler> micges: that lowers my level of interest to a big fat zero
[18:54:42] <alex_joni> guess I can push without rebuilding the Packages file
[18:55:46] <jepler> alex_joni: do that to start with
[18:56:13] <jepler> alex_joni: I could push the breezy files at this point too
[18:56:38] <jepler> I guess the question is, anybody want to try it before we make it official? I'm not near my mill atm
[18:56:38] <alex_joni> do we still build 2.2 for breezy?
[18:56:49] <alex_joni> I tried all sim's I can think of
[18:57:00] <jepler> er, I meant dapper
[18:57:06] <jepler> I'm off by a year, big deal
[18:57:14] <alex_joni> heh, yeah.. no biggie
[18:58:49] <jepler> I'm putting dapper packages in the repo, but have to wait for cradek to sign it..
[18:59:14] <alex_joni> ok, I'll push the package into the repo
[18:59:26] <alex_joni> if you feel confident let me know and I'll sign the repo and repush
[18:59:36] <alex_joni> (and if I'm not around you can do that too ;)
[18:59:39] <jepler> yeah
[18:59:51] <skunkworks_> is the problem jone is seeing an issue?
[18:59:52] <alex_joni> the board signing key was a good call imp
[18:59:59] <alex_joni> not with 2.2.7
[19:00:06] <skunkworks_> ok
[19:00:11] <alex_joni> might be for TRUNK.. but that's why it's TRUNK ;)
[19:00:28] <jepler> I haven't paid attention to that -- should I?
[19:00:40] <jepler> this was the "machine with only one axis, the A axis"?
[19:00:56] <alex_joni> yeah, but it turned into: problems with PID on TRUNK
[19:01:05] <jepler> oh
[19:01:09] <alex_joni> it works on 2.2.6 apparently
[19:01:22] <alex_joni> he suspected float stuff, I suspect pin/param stuff ;)
[19:01:37] <jepler> I see that now
[19:01:55] <jepler> I'm pretty sure cradek actually moved his mill around after the "doubles" checkin
[19:02:02] <alex_joni> jepler: can you drop the announcement on the the lists for 2.2.7 ? or would that be after we sign the repo's?
[19:02:19] <alex_joni> is cradek's mill servo? I thought it's stepper
[19:02:29] <jepler> er, his lathe -- but they're both servo
[19:02:34] <alex_joni> ah, right
[19:03:08] <alex_joni> I'll do SF and linuxcnc.org updates for 2.2.7, is that ok?
[19:03:17] <alex_joni> or should I wait for the announcement first?
[19:04:00] <jepler> alex_joni: I think it should all wait until the update is installable with apt-get / update manager
[19:04:09] <alex_joni> ok, fair enough
[19:10:34] <alex_joni> ok, the package+source is up in the hardy repo
[19:54:31] <alex_joni> jepler: I'll read back tomorrow morning ..
[19:54:52] <jepler> alex_joni: ok
[19:54:58] <jepler> alex_joni: thanks
[19:55:05] <alex_joni> I'll do SF & linuxcnc then (if needed)
[19:55:14] <alex_joni> sure thing
[19:55:39] <jepler> see you later
[20:13:21] <CIA-38> EMC: 03seb 07TRUNK * 10emc2/configs/hm2-servo/ (README emc.nml m7i43.tbl m7i43.var m7i43_th.hal m7i43_th.ini):
[20:13:21] <CIA-38> EMC: From Ted Hyde: Added a complete, working hostmot2 config!
[20:13:21] <CIA-38> EMC: Uses the 7i43 to drive a 3-axis servo machine.
[20:13:42] <seb_kuzminsky> ted hyde for the win
[20:14:13] <SWPadnos> hey, maybe someone should mention to the cia folks that they don't seem to be differentiating between our seb and the other one(s)
[20:15:22] <SWPadnos> hey, maybe you can stick that in 2.2 as well??
[20:15:35] <SWPadnos> hey, maybe I can start a sentence some other way some day
[20:16:13] <fenn> hey, maybe
[20:23:13] <jepler> too late for 2.2.7 unforch
[20:26:27] <seb_kuzminsky> sry
[20:26:33] <seb_kuzminsky> i mean, hey, sorry
[20:27:39] <SWPadnos> hey, watch it! :)
[20:49:30] <seb_kuzminsky> hey, later guys
[21:05:44] <CIA-38> EMC: 03tissf 07TRUNK * 10emc2/docs/src/ (Master_Integrator_fr.lyx Master_User_fr.lyx index_fr.tmpl): french translation update
[21:05:54] <CIA-38> EMC: 03tissf 07TRUNK * 10emc2/docs/src/gcode/main_fr.lyx: french translation update